Skip to main content
Log in

BP Neural Network Modeling and Solving Acceleration of Analog ICs

  • Published:
Circuits, Systems, and Signal Processing Aims and scope Submit manuscript

Abstract

A novel accuracy-aware modeling and solving approach of adopting a back-propagation neural network (BP-NN) targeting to a classical analog five-transistor operational transconductance amplifier (OTA) is proposed in this paper. Three complex BP-NN algorithms are described amply in performance model training between multiple design factors and performance metrics, where genetic algorithm (GA), particle swarm optimization (PSO) and mind evolutionary algorithm (MEA) are introduced to further speed up the searching process for global solutions. Effectiveness comparison is performed by running simulations using SMIC 180 nm/1.8 V CMOS technology, three complex algorithms with longer time-consuming of 10 h, 30 m and 28 m demonstrate significantly superior features in solving accuracy, which show lower mean square errors (MSEs) by improvements of 82.7%, 99.7% and 99.9%, respectively. The results show that the proposed BP-NN modeling approach can be effectively aided in accelerating the global design solution of a long-cycle, nonlinear and multivariable-solved analog circuit.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10

Similar content being viewed by others

Data Availability

The data that support the findings of this study are available from the corresponding author upon reasonable request.

References

  1. M. Abdel-Majeed, T. Almousa, M. Alsalman, A. Yosf, Sketic: a machine learning-based digital circuit recognition platform. Turkish J. Electr. Eng. Comput. Sci. 28(4), 2030–2045 (2020). https://doi.org/10.3906/elk-1910-16

    Article  Google Scholar 

  2. E. Afacan, N.C. Lourenço, R.M. Martins, G. Dündar, Review: Machine learning techniques in analog/RF integrated circuit design, synthesis, layout, and test. Integr VLSI J. 77, 113–130 (2021). https://doi.org/10.1016/j.vlsi.2020.11.006

    Article  Google Scholar 

  3. E. Afacan, M.B. Yelten, G. Dündar, Review: analog design methodologies for reliability in nanoscale CMOS circuits, in Proceedings of 14th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD), 2017, pp. 1–4. https://doi.org/10.1109/SMACD.2017.7981608

  4. N.T. Almalah, F.H. Aldabbagh, Inductanceless high order low frequency filters for medical applications. Int J Electr Comput Eng 12(2), 1299–1307 (2022). https://doi.org/10.11591/ijece.v12i2.pp1299-1307

    Article  Google Scholar 

  5. B. Bachir, A. Ali, M. Abdellah, Multiobjective optimization of an operational amplifier by the ant colony optimisation algorithm. Electr Electron Eng 2(4), 230–235 (2012). https://doi.org/10.5923/J.EEE.20120204.09

    Article  Google Scholar 

  6. M.F. Barros, J. Guilherme, N.C. Horta, GA-SVM feasibility model and optimization kernel applied to analog IC design automation, in Proceedings of the 17th ACM Great Lakes symposium on VLSI, 2007, pp. 469–472. https://doi.org/10.1145/1228784.1228895

  7. I. Baturone, S. Sánchez-Solano, A. Gersnoviez, M. Brox, An automated design flow from linguistic models to piecewise polynomial digital circuits, in Proceedings of the IEEE International Symposium on Circuits and Systems, 2010, pp. 3317–3320. https://doi.org/10.1109/ISCAS.2010.5537890

  8. B. Benhala, An improved aco algorithm for the analog circuits design optimization. Int. J. Circuits Syst. Signal Process. 10, 128–133 (2016)

    Google Scholar 

  9. M. Bucolo, A. Buscarino, C. Famoso, L. Fortuna, S. Gagliano, Imperfections in integrated devices allow the emergence of unexpected strange attractors in electronic circuits. IEEE Access 9, 29573–29583 (2021). https://doi.org/10.1109/ACCESS.2021.3058506

    Article  Google Scholar 

  10. A.F. Budak, M. Gandara, W. Shi, D.Z. Pan, N. Sun, B. Liu, An efficient analog circuit sizing method based on machine learning assisted global optimization. IEEE Trans Comput Aided Des Integr Circuits Syst. 41(5), 1209–1221 (2021). https://doi.org/10.1109/TCAD.2021.3081405

    Article  Google Scholar 

  11. Z. Cashero, A. Chen, R. Hoppal, T. Chen, Fast evaluation of analog circuits using linear programming, in Proceedings of the IEEE Computer Society Annual Symposium on VLSI 2010, pp. 253–258. https://doi.org/10.1109/ISVLSI.2010.94

  12. J.R. Cavanaugh, W. Bair, J.A. Movshon, Nature and interaction of signals from the receptive field center and surround in macaque V1 neurons. J. Neurophysiol. 88(5), 2530–2546 (2002). https://doi.org/10.1152/JN.00692.2001

    Article  Google Scholar 

  13. B.P. De, R. Kar, D. Mandal, S.P. Ghoshal, Optimal selection of components value for analog active filter design using simplex particle swarm optimization. Int. J. Mach. Learn. Cybern. 6(4), 621–636 (2015). https://doi.org/10.1007/S13042-014-0299-0

    Article  Google Scholar 

  14. M. Faseehuddin, N. Herencsar, S. Shireen, W. Tangsrirat, S.H. Md Ali, Voltage differencing buffered amplifier-based novel truly mixed-mode biquadratic universal filter with versatile input/output features. Appl. Sci. 12(3), 1229 (2022). https://doi.org/10.3390/app12031229

    Article  Google Scholar 

  15. K. Gaj, Q. Herr, V. Adler, A. Krasniewski, E.G. Friedman, M.J. Feldman, Tools for the computer-aided design of multigigahertz superconducting digital circuits. IEEE Trans. Appl. Supercond. 9(1), 18–38 (1999). https://doi.org/10.1007/s00034-022-02219-9

    Article  Google Scholar 

  16. S. Indrapriyadarsini, S. Mahboubi, H. Ninomiya, T. Kamio, H. Asai, A neural network approach to analog circuit design optimization using nesterov's accelerated quasi-newton method, in Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), 2020, pp. 1–1. https://doi.org/10.1109/ISCAS45731.2020.9181152

  17. W. Jiang, Y. Zhang, R. Wang, Comparative study on several PSO algorithms, in Proceedings of the 26th IEEE Chinese Control and Decision Conference, 2014. pp. 1117–1119. https://doi.org/10.1109/CCDC.2014.6852332

  18. O.B. Kchaou, A. Garbaya, M. Kotti, P. Pereira, M. Fakhfakh, M.H. Fino, Sensitivity aware NSGA-II based Pareto front generation for the optimal sizing of analog circuits. Integr. VLSI J. 55, 220–226 (2016). https://doi.org/10.1016/j.vlsi.2016.07.001

    Article  Google Scholar 

  19. M. Kumngern, F. Khateb, T. Kulej, D. Arbet, M. Akbari, Fully differential fifth-order dual-notch low-pass filter for portable EEG system. AEU Int J Electron Commun. 146, 154122 (2022). https://doi.org/10.1016/j.aeue.2022.154122

    Article  Google Scholar 

  20. J.R. Lakowicz, Instrumentation for fluorescence spectroscopy, in Principles of Fluorescence Spectroscopy, (Springer, 1999) pp. 25–61. https://doi.org/10.1007/978-1-4615-7658-7_2

  21. Y. Li, Y. Lin, M. Madhusudan, A.K. Sharma, W. Xu, S.S. Sapatnekar, R. Harjani, J. Hu, A customized graph neural network model for guiding analog IC placement, in Proceedings of the 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD), 2020, pp. 1–9. https://doi.org/10.1145/3400302.3415624

  22. B. Liu, G. Chen, B. Yang, S. Nakatake, Routable and matched layout styles for analog module generation. ACM Trans. Des. Autom. Electron. Syst. 23(4), 47 (2018). https://doi.org/10.1145/3182169

    Article  Google Scholar 

  23. M. Mahendra, S. Kumari, M. Gupta, Low voltage fully differential OTA using DTMOS based self cascode transistor with slew-rate enhancement and its filter application. Integr. VLSI J. 84, 47–61 (2022). https://doi.org/10.1016/j.vlsi.2022.01.003

    Article  Google Scholar 

  24. S.K. Mandal, S. Sural, A. Patra, ANN-and PSO-based synthesis of on-chip spiral inductors for RF ICs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(1), 188–192 (2008). https://doi.org/10.1109/TCAD.2007.907284

    Article  Google Scholar 

  25. H. Murata, K. Fujiyoshi, S. Nakatake, Y. Kajitani, VLSI module placement based on rectangle-packing by the sequence-pair. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 15(12), 1518–1524 (1996). https://doi.org/10.1109/43.552084

    Article  Google Scholar 

  26. S. Nakatake, K. Fujiyoshi, H. Murata, Y. Kajitani, Module placement on BSG-structure and IC layout applications. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 17(6), 519–530 (1998). https://doi.org/10.1109/43.703832

    Article  Google Scholar 

  27. W. Nye, D.C. Riley, A.L. Sangiovanni-Vincentelli, A.L. Tits, DELIGHT. SPICE: an optimization-based system for the design of integrated circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 7(4), 501–519 (1988). https://doi.org/10.1109/43.3185

    Article  Google Scholar 

  28. J.W. Picone, Signal modeling techniques in speech recognition. Proc. IEEE 81(9), 1215–1247 (1993). https://doi.org/10.1109/5.237532

    Article  Google Scholar 

  29. A. Pradhan, R.Vemuri, Efficient synthesis of a uniformly spread layout aware pareto surface for analog circuits, in Proceedings of the IEEE 22nd International Conference on VLSI Design, 2009, pp. 131–136. https://doi.org/10.1109/VLSI.Design.2009.67

  30. L. Qian, Z. Bi, D. Zhou, X. Zeng, Automated technology migration methodology for mixed-signal circuit based on multistart optimization framework. IEEE Trans Very Large Scale Integr Syst. 23(11), 2595–2605 (2015). https://doi.org/10.1109/TVLSI.2014.2377013

    Article  Google Scholar 

  31. J. Rosa, D. Guerra, N.C. Horta, R.M. Martins, N.C. Lourenço, in Using Artificial Neural Networks for Analog Integrated Circuit Design Automation, vol. 1, (Springer, 2020). https://doi.org/10.1007/978-3-030-35743-6

  32. J. Rosa, D. Guerra, N.C. Horta, R.M. Martins, N.C. Lourenço, in Using ANNS to Size Analog Integrated Circuits, (Springer, 2020) pp. 45–66. https://doi.org/10.1007/978-3-030-35743-6_4

  33. L.C. Severo, W. Van Noije, Single stage OTA and negative transconductance compensation. in Ultra-low Voltage Low Power Active-RC Filters and Amplifiers for Low Energy RF Receivers, (Springer, 2022) pp. 33–59. https://doi.org/10.1007/978-3-030-90103-5_3

  34. Y. Wang, G.C. Temes, Scaling for optimum dynamic range and noise-power tradeoff: a review of analog circuit design techniques. IEEE Solid-State Circuits Mag. 11(2), 98–103 (2019). https://doi.org/10.1109/MSSC.2019.2910646

    Article  Google Scholar 

  35. Z. Wang, X. Luo, Z. Gong, Application of deep learning in analog circuit sizing. in Proceedings of the 2018 2nd ACM International Conference on Computer Science and Artificial Intelligence, 2018. pp. 571–575. https://doi.org/10.1145/3297156.3297160

  36. Y. Xia, A new neural network for solving linear and quadratic programming problems. IEEE Trans. Neural Netw. 7(6), 1544–1548 (1996). https://doi.org/10.1109/72.548188

    Article  Google Scholar 

  37. B. Xu, K. Zhu, M. Liu, Y. Lin, S. Li, X. Tang, N. Sun, D.Z. Pan, MAGICAL: toward fully automated analog IC layout leveraging human and machine intelligence: invited paper, in Proceedings of the 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2019, pp. 1–8. https://doi.org/10.1109/ICCAD45719.2019.8942060

  38. Y. Xu, K. Hsiung, X. Li, I. Nausieda, S.P. Boyd, L.T. Pileggi, OPERA: optimization with ellipsoidal uncertainty for robust analog IC design, in Proceedings of the 42nd Design Automation Conference (DAC) 2015, pp. 632–637. https://doi.org/10.1109/DAC.2005.193888

  39. S. Zhang, W. Lyu, F. Yang, C. Yan, D. Zhou, X. Zeng, Bayesian optimization approach for analog circuit synthesis using neural network, in Proceedings of the IEEE Design, Automation & Test in Europe Conference & Exhibition (DATE), 2019, pp. 1463–1468. https://doi.org/10.23919/DATE.2019.8714788

  40. Z. Zhao, L. Zhang, Deep reinforcement learning for analog circuit sizing, in Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), 2020, pp. 1–5. https://doi.org/10.1109/ISCAS45731.2020.9181149

  41. R. Zhou, P. Poechmueller, Y. Wang, An analog circuit design and optimization system with rule-guided genetic algorithm. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(12), 5182–5192 (2022). https://doi.org/10.1109/TCAD.2022.3166637

    Article  Google Scholar 

Download references

Acknowledgements

This work was partially supported by the National Natural Science Foundation of China (NSFC, Grant No. 61704049), the Key Science and Technology Program of Henan Province (Grant No. 232102211066) and Graduate Quality Project of HAUST (Grant No. 2020ZYL-008).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Bo Liu.

Ethics declarations

Conflict of interest

The authors declare that they have no known conflict of interests that could have appeared to influence the work reported in this study.

Ethical Approval

This article does not contain any studies with human participants or animals performed by any of the authors.

Informed Consent

Informed consent was obtained from all individual participants included in the study.

Consent to Participate

This manuscript is approved by all authors for publication.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Springer Nature or its licensor (e.g. a society or other partner) holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Liu, B., Zhang, W., Duan, W. et al. BP Neural Network Modeling and Solving Acceleration of Analog ICs. Circuits Syst Signal Process 42, 7023–7044 (2023). https://doi.org/10.1007/s00034-023-02443-x

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s00034-023-02443-x

Keywords

Navigation