Skip to main content
Log in

Design of Fault Tolerant Adders: A Review

  • Review - Computer Engineering and Computer Science
  • Published:
Arabian Journal for Science and Engineering Aims and scope Submit manuscript

Abstract

Arithmetic circuits, especially the adder, are the heart of any computing system that comprises numerous processing units ranging from small digital systems to supercomputers. As a result of the shrinking size of electronic devices, the rate of occurrence of soft errors has increased. Thus, designing soft error tolerant circuits is of great importance. In this paper, various fault tolerant designs required to attain highly reliable adders are depicted. In addition to the review of different fault tolerant designs of carry look-ahead and carry-select adders, this paper also gives their comparative assessment in terms of fault tolerance, area overhead, and weaknesses. The described fault tolerant designs are classified based on their ability to detect or correct faults and the employed redundancy scheme.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Nicolaidis, M.: Carry checking/parity prediction adders and ALUs. IEEE Trans. Very Large Scale Integr. Syst. 11, 121–128 (2003)

    Article  Google Scholar 

  2. Vasudevan, D.P.; Lala, P.K.; Parkerson, J.P.: Self-checking carry-select adder design based on two-rail encoding. IEEE Trans. Circuits Syst. I Regul. Pap 54, 2696–2705 (2007). https://doi.org/10.1109/TCSI.2007.910537

    Article  Google Scholar 

  3. Ocheretny, V.: Self-checking arithmetic logic unit with duplicated outputs. In: Proceedings of the IEEE 16th International On-Line Testing Symposium IOLTS 2010, pp. 202–203 (2010). https://doi.org/10.1109/IOLTS.2010.5560204

  4. Khedhiri, C.; Karmani, M.; Hamdi, B.; Man, K.L.: Concurrent error detection adder based on two paths output computation. In: 9th IEEE International Symposium on Parallel Distributed Processing with Applications Workshop (ISPAW), 2011, pp. 27–32 (2011). https://doi.org/10.1109/ISPAW.2011.63

  5. Islam, M.S.; Rahman, M.M.; Begum, Z.; Hafiz, M.Z.: Fault tolerant reversible logic synthesis: carry look-ahead and carry-skip adders. In: International Conference on Advanced Computing Tools Engineering Application ACTEA 2009, 396–401 (2009). https://doi.org/10.1109/ACTEA.2009.5227871

  6. Mitra, S.K.; Chowdhury, A.R.: Minimum cost fault tolerant adder circuits in reversible logic synthesis. In: 25th International Conference on VLSI Design, pp. 334–339 (2012). https://doi.org/10.1109/VLSID.2012.93

  7. Babu, H.H.; Jamal, L.; Saleheen, N.: An efficient approach for designing a reversible fault tolerant n-bit carry look-ahead adder, pp. 98–103 (2013)

  8. Zhou, R.-G.; Li, Y.-C.; Zhang, M.-Q.: Novel Designs for Fault Tolerant Reversible Binary Coded Decimal Adders. Taylor and Francis, Abington (2014). https://doi.org/10.1080/00207217.2013.832388

    Book  Google Scholar 

  9. Valinataj, M.; Mirshekar, M.; Jazayeri, H.: Novel low-cost and fault-tolerant reversible logic adders. Comput. Electr. Eng. 53, 56–72 (2016). https://doi.org/10.1016/j.compeleceng.2016.06.008

    Article  Google Scholar 

  10. Von Neumann, J.: Probabilistic logics and the synthesis of reliable organisms from unreliable components. Autom. Stud. 34(34), 43–98 (1956)

    MathSciNet  Google Scholar 

  11. Townsend, W.J.; Abraham, J.A.; Earl E. Swartzlander, J.: Quadruple time redundancy adders (error correcting adder). In: Proceedings. 18th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, pp. 250–256 (2003). https://doi.org/10.1109/DFTVS.2003.1250119

  12. Forsati, R.; Faez, K.; Moradi, F.; Rahbar, A.: A fault tolerant method for residue arithmetic circuits. in: IEEE International Conference on Information Management and Engineering 2009, pp. 59–63 (2009). https://doi.org/10.1109/ICIME.2009.111

  13. Namazi, A.; Sedaghat, Y.; Miremadi, S.G.; Ejlali, A.: A low-cost fault-tolerant technique for carry look-ahead adder. In: 15th IEEE International On-Line Testing Symposium, pp. 217–222 (2009)

  14. Fazeli, M.; Namazi, A.; Miremadi, S.G.; Haghdoost, A.: Operand width aware hardware reuse: a low cost fault-tolerant approach to ALU design in embedded processors. Microelectron. Reliab. 51, 2374–2387 (2011). https://doi.org/10.1016/j.microrel.2011.06.008

    Article  Google Scholar 

  15. Mukherjee, A.; Dhar, A.S.: Design of a fault-tolerant conditional sum adder. Lecture Notes in Computer Science (including Subseries Lecture Notes in artificial intelligence Lecture Notes in Bioinformatics). 7373 LNCS, 217–222 (2012). https://doi.org/10.1007/978-3-642-31494-0_25

    Chapter  Google Scholar 

  16. Majumdar, A.; Nayyar, S.; Sengar, J.S.: Fault tolerant ALU system. In: International Conference on Computational Science, pp. 255–260 (2012). https://doi.org/10.1109/ICCS.2012.36

  17. Akbar, M.A.; Lee, J.A.: Self-repairing adder using fault localization. Microelectron. Reliab. 54, 1443–1451 (2014). https://doi.org/10.1016/j.microrel.2014.02.033

    Article  Google Scholar 

  18. Mukherjee, A.; Dhar, A.S.: Real-time fault-tolerance with hot-standby topology for conditional sum adder. Microelectron. Reliab. 55, 704–712 (2015). https://doi.org/10.1016/j.microrel.2014.12.011

    Article  Google Scholar 

  19. Parhi, R.; Kim, C.H.; Parhi, K.K.: Fault-tolerant ripple-carry binary adder using partial triple modular redundancy (PTMR). In: Proceedings of the IEEE International Symposium on Circuits and Systems 2015–July, pp. 41–44 (2015). https://doi.org/10.1109/ISCAS.2015.7168565

  20. Kumar, P.; Sharma, R.K.: Real-time fault tolerant full adder design for critical applications. Eng. Sci. Technol. Int. J. 19, 1465–1472 (2016). https://doi.org/10.1016/j.jestch.2016.05.001

    Article  Google Scholar 

  21. Moradian, H.; Lee, J.; Hashmi, A.: Self-repairing radix-2 signed-digit adder with multiple error detection, correction, and fault localization. Microelectron. Reliab. 63, 256–266 (2016). https://doi.org/10.1016/j.microrel.2016.06.010

    Article  Google Scholar 

  22. Moradian, H.; Lee, J.; Yu, J.: Efficient low-cost fault-localization and self-repairing radix-2 signed-digit adders applying the self-dual concept. J. Signal Process. Syst. 88, 297–309 (2017). https://doi.org/10.1007/s11265-016-1162-1

    Article  Google Scholar 

  23. Ullah, A.; Reviriego, P.; Pontarelli, S.; Maestro, J.A.: Majority voting-based reduced precision redundancy adders. IEEE Trans. Device Mater. Reliab. 18, 122–124 (2018)

    Article  Google Scholar 

  24. Valinataj, M.: A novel self-checking carry lookahead adder with multiple error detection/correction. Microprocess. Microsyst. 38, 1072–1081 (2014). https://doi.org/10.1016/j.micpro.2014.10.002

    Article  Google Scholar 

  25. Valinataj, M.: Fault-tolerant carry look-ahead adder architectures robust to multiple simultaneous errors. Microelectron. Reliab. 55, 2845–2857 (2015). https://doi.org/10.1016/j.microrel.2015.08.017

    Article  Google Scholar 

  26. Nicolaidis, M.; Duarte, R.O.: Fault-secure parity prediction booth multipliers. IEEE Des. Test Comput. 16, 90–101 (1999). https://doi.org/10.1109/54.785842

    Article  Google Scholar 

  27. Chiou, C.W.; Lee, C.Y.; Lin, J.M.; Hou, T.W.; Chang, C.C.: Concurrent error detection and correction in dual basis multiplier over GF (2 m). Circuits Devices Syst. IET. 3, 22–40 (2009). https://doi.org/10.1049/iet-cds

    Article  MATH  Google Scholar 

  28. Milovanović, I.Ž.; Milovanović, E.I.; Stojčev, M.K.; Bekakos, M.P.: Orthogonal fault-tolerant systolic arrays for matrix multiplication. Microelectron. Reliab. 51, 711–725 (2011). https://doi.org/10.1016/j.microrel.2010.001

    Article  MATH  Google Scholar 

  29. Chuang, T.P.; Chiou, C.W.; Lin, S.S.; Lee, C.Y.: Fault-tolerant Gaussian normal basis multiplier over GF(2 m). IET Inf. Secur. 6, 157–170 (2012). https://doi.org/10.1049/iet-ifs.2012.0110

    Article  Google Scholar 

  30. Pontarelli, S.; Reviriego, P.; Bleakley, C.J.; Maestro, J.A.: Low complexity concurrent error detection for complex multiplication. IEEE Trans. Comput. 62, 1899–1903 (2013). https://doi.org/10.1109/TC.2012.246

    Article  MathSciNet  MATH  Google Scholar 

  31. Reviriego, P.; Can, S.Z.; Eryilmaz, Ç.; Maestro, J.A.; Ergin, O.: Exploiting processor features to implement error detection in reduced precision matrix multiplications. Microprocess. Microsyst. 38, 581–584 (2014). https://doi.org/10.1016/j.micpro.2014.05.001

    Article  Google Scholar 

  32. Hsu, Y.; Swartzlander, E.E.: Time redundant error correcting adders and multipliers. In: Proceedings of International Workshop on Defect and Fault Tolerance in VLSI Systems, pp. 247–256 (1992)

  33. Hunger, M.; Marienfeld, D.: New self-checking booth multipliers. Int. J. Appl. Math. Comput. Sci. 18, 319–328 (2008). https://doi.org/10.2478/v10006-008-0029-4

    Article  MATH  Google Scholar 

  34. Yin, P.Y.; Chen, Y.H.; Lu, C.W.; Shyu, S.S.; Lee, C.L.; Ou, T.C.; Lin, Y.S.: A multi-stage fault-tolerant multiplier with Triple Module Redundancy (TMR) technique. In: Proceedings of the International Conference on Intelligent Systems, Modelling and Simulation ISMS, pp. 636–641 (2013). https://doi.org/10.1109/ISMS.2013.45

  35. Wey, I.C.; Peng, C.C.; Liao, F.Y.: Reliable low-power multiplier design using fixed-width replica redundancy block. IEEE Trans Very Large Scale Integr. Syst. 23, 78–87 (2015)

    Article  Google Scholar 

  36. Arjhan, C.; Deshmukh, R.G.: A novel fault-detection technique for the parallel multipliers and dividers. In: Proceedings of the Test Symposium (ATS ’99), pp. 127–132. IEEE (1999). https://doi.org/10.1109/ATS.1999.810740

  37. Mozaffari-Kermani, M.; Azarderakhsh, R.; Lee, C.Y.; Bayat-Sarmadi, S.: Reliable concurrent error detection architectures for extended euclidean-based division over (2 m). IEEE Trans. Very Large Scale Integr. Syst. 22, 995–1003 (2014). https://doi.org/10.1109/TVLSI.2013.2260570

    Article  Google Scholar 

  38. Ibrahim, A.; Al-Somani, T.F.; Gebali, F.: New systolic array architecture for finite field inversion. Can. J. Electr. Comput. Eng. 40, 23–30 (2017). https://doi.org/10.1109/CJECE.2016.2638962

    Article  Google Scholar 

  39. Dubrova, E.: Fault-Tolerant Design. Springer, Berlin (2013)

    Book  Google Scholar 

  40. George, N.; Lach, J.: Characterization of logical masking and error propagation in combinational circuits and effects on system vulnerability. In: Proceedings of the International Conference on Dependable Systems and Networks, pp. 323–334 (2011). https://doi.org/10.1109/DSN.2011.5958246

  41. Johnson, B.W.: Design and Analysis of Fault Tolerant Digital Systems. Addison-Wesley Publishing Co., Inc., Boston (1989)

    Google Scholar 

  42. Tsai, T.: Fault tolerance via N-modular software redundancy. In: Twenty-Eighth Annual International Symposium on Fault-Tolerant Computing Digital Paper, pp. 201–206. IEEE (1998). https://doi.org/10.1109/FTCS.1998.689471

  43. Akbar, M.A.; Lee, J.A.: Comments on “self-checking carry-select adder design based on two-rail encoding.”. IEEE Trans. Circuits Syst. I Regul. Pap. 61, 2212–2214 (2014). https://doi.org/10.1109/TCSI.2013.2295930

    Article  Google Scholar 

  44. Bennett, C.H.: Logical reversibility of computation. IBM J. Res. Dev. 17, 525–532 (1973). https://doi.org/10.1147/rd.176.0525

    Article  MathSciNet  MATH  Google Scholar 

  45. Islam, M.; Begum, Z.: Reversible logic synthesis of fault tolerant carry skip BCD adder. Bangladesh Acad. Sci. J. 32, 193–200 (2008). https://doi.org/10.3329/jbas.v32i2.2431

    Article  Google Scholar 

  46. Parhami, B.: Fault-tolerant reversible circuits. In: IEEE 2006 40th Asilomar Conference on Signals Systems and Computers, pp. 1726–1729 (2006). https://doi.org/10.1109/ACSSC.2006.355056

  47. Haghparast, M.; Navi, K.: Design of a novel fault tolerant reversible full adder for nanotechnology based systems. World Appl. Sci. J. 3, 114–118 (2008). https://doi.org/10.3844/ajassp.2008.519.523

    Article  Google Scholar 

  48. Fredkin, E.; Toffoli, T.: Conservative logic. Collision-Based Comput. (2001). https://doi.org/10.1007/978-1-4471-0129-1_3

    Chapter  Google Scholar 

  49. Siewiorek, D.P.; Swarz, R.S.: Reliable Computer Systems: Design and Evaluation, 3rd edn. A.K. Peters, Ltd., Natick (1998)

  50. Reviriego, P.; Maestro, J.A.; Bleakley, C.J.: Diverse double modular redundancy: a new direction for soft-error detection and correction. IEEE Des. Test. 30, 87–95 (2013). https://doi.org/10.1109/MDT.2012.2232964

    Article  Google Scholar 

  51. Li, J.-F.; Hsu, C.-C.: Efficient testing methodologies for conditional sum adders. In: 13th Asian Test Symposium, pp. 319–324 (2004). https://doi.org/10.1109/ATS.2004.40

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Aiman H. El-Maleh.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Bin Talib, G.H., El-Maleh, A.H. & Sait, S.M. Design of Fault Tolerant Adders: A Review. Arab J Sci Eng 43, 6667–6692 (2018). https://doi.org/10.1007/s13369-018-3556-9

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s13369-018-3556-9

Keywords

Navigation