Skip to main content
Log in

Low-k integration: Gas screening for cryogenic etching and plasma damage mitigation

  • Research Article
  • Published:
Frontiers of Chemical Science and Engineering Aims and scope Submit manuscript

Abstract

The integration of porous organo-silicate low-k materials has met a lot of technical challenges. One of the main issues is plasma-induced damage, occurring for all plasma steps involved during interconnects processing. In the present paper, we focus on porous SiOCH low-k damage mitigation using cryogenic temperature so as to enable micro-capillary condensation. The aim is to protect the porous low-k from plasma-induced damage and keep the k-value of the material unchanged, in order to limit the RC delay of interconnexion levels while shrinking the microchip dimension. The cryogenic temperature is used to condense a gas inside the porous low-k material. Then, the etching process is performed at the temperature of condensation in order to keep the condensate trapped inside the material during the etching. In the first part of this work, the condensation properties of several gases are screened, leading to a down selection of five gases. Then, their stability into the porous structure is evaluated at different temperature. Four of them are used for plasma damage mitigation comparison. Damage mitigation is effective and shows negligible damage for one of the gases at −50°C.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Maex K, Baklanov M R, Shamiryan D, Lacopi F, Brongersma S H, Yanovitskaya Z S. Low dielectric constant materials for microelectronics. Journal of Applied Physics, 2003, 93(11): 8793–8843

    Article  CAS  Google Scholar 

  2. Baklanov M R, Vanhaelemeersch S, Bender H, Maex K. Effect of oxygen and fluorine on the dry etch characteristics of organic low-k dielectrics. Journal of Vacuum Science & Technology B, 1999, 17(2): 372–380

    Article  CAS  Google Scholar 

  3. Baklanov M R, Mogilnikov K P, Le Q T. Quantification of processing damage in porous low dielectric constant films. Microelectronic Engineering, 2006, 83(11–12): 2287–2291

    Article  CAS  Google Scholar 

  4. Shamiryan D, Baklanov M R, Vanhaelemeersch S, Maex K. Comparative study of SiOCH low-k films with varied porosity interacting with etching and cleaning plasma. Journal of Vacuum Science & Technology B, 2002, 20(5): 1922–1929

    Article  CAS  Google Scholar 

  5. Lepinay M, Lee D, Scarazzini R, Bardet M, Veillerot M, Broussous L, Licitra C, Jousseaume V, Bertin F, Rouessac V, Ayral A. Impact of plasma reactive ion etching on low dielectric constant porous organosilicate films’ microstructure and chemical composition. Microporous and Mesoporous Materials, 2016, 228: 297–304

    Article  CAS  Google Scholar 

  6. Humbert A, Mage L, Coldberg C, Junker K, Proenca L, Lhuillier J B. Effect of plasma treatment on ultra low-k material properties. Microelectronic Engineering, 2005, 82(3–4): 399–404

    Article  CAS  Google Scholar 

  7. Ren H, Antonelli G A, Nishi Y, Shohet J L. Plasma damage effects on low-k porous organosilicate glass. Journal of Applied Physics, 2010, 108(9): 094110

    Article  CAS  Google Scholar 

  8. Kunnen E, Baklanov M R, Franquet A, Shamiryan D, Rakhimova T K, Urbanowicz A M, Struyf H, Boullart W. Effect of energetic ions on plasma damage of porous SIOCH low-k materials. Journal of Vacuum Science & Technology B, 2010, 28: 448–459

    Article  CAS  Google Scholar 

  9. Singh S K, Kumbhar A A, Dusane R O. Repairing plasma-damaged low-k HSQ films with trimethylchlorosilane treatment. Materials Science and Engineering B, 2005, 127(1): 29–33

    Article  CAS  Google Scholar 

  10. Shi H, Bao J, Huang H, Ho P S, Goodner M D, Moinpour M, Kloster G-M. Effect of CH4 plasma treatment on O2 plasma ashed organosilicate low-k dielectrics. Matererial Research Society Proceeding B, 2007, 990: 51–56

    CAS  Google Scholar 

  11. Frot T, Volksen W, Magbitang T, Miller D C, Purushothaman S, Lofaro M, Bruce R, Dubois G. Post porosity plasma protection a new approach to integrate k ⩽2.2 porous ULK materials. In: IEEE International Interconnect Technology Conference, 2011. New York: IEEE, 2011

    Book  Google Scholar 

  12. Frot T, Volksen W, Purushothaman S, Bruce R, Dubois G. Application of the protection/deprotection strategy to the science of porous materials. Advanced Materials, 2011, 23(25): 2828–2832

    Article  CAS  PubMed  Google Scholar 

  13. Leroy F, Zhang L, Tillocher T, Yatsuda K, Maekawa K, Nishimura E, Lefaucheux P, de Marneffe J F, Baklanov M R, Dussart R. Cryogenic etching processes applied to porous low-k materials using SF6/C4F8 plasmas. Journal Physics D, 2015, 48(43): 435202

    Article  CAS  Google Scholar 

  14. Zhang L, de Marneffe J F, Leroy F, Lefaucheux P, Tillocher T, Dussart R, Maekawa K, Yatsuda K, Dussarrat C, Goodyear A, Cooke M, De Gendt S, Baklanov M R. Mitigation of plasma-induced damage in porous low-k dielectrics by cryogenic precursor condensation. Journal Physics D, 2016, 49(17) 175203

    Article  CAS  Google Scholar 

  15. Zhang L, Ljazouli R, Lefaucheux P, Tillocher T, Dussart R, Mankelevich Y A, de Marneffe J F, De Gendt S, Baklanov M R. Damage free cryogenic etching of a porous organosilica ultralow-k film. ECS Solid State Letters, 2013, 2(5-N): 7

    Google Scholar 

  16. Rezvanov A, Zhang L, Watanabe M, Krishtab M B, Zhang L, Hacker N, Verdonck P, Armini S, de Marneffe J F. Pore surface grafting of porous low-k dielectrics by selective polymers. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, 2017, 35: 021211

    Article  CAS  Google Scholar 

  17. Chanson R, Zhang L, Naumov S, Mankelevich Yu A, Tillocher T, Lefaucheux P, Dussart R, De Gendt S, De Marneffe J F. Damage-free plasma etching of porous organo-silicate low-k using micro-capillary condensation above -50°C. Scientific Reports, 2018, 8(1): 1886)

    Article  CAS  PubMed  PubMed Central  Google Scholar 

  18. Chanson R, Tahara S, Vanstreels K, de Marneffe J F. Low damage ultra-low-k patterning using a high boiling point organic (HBPO) combined with NF3. Plasma Research Express, 2018, 1(1): 015006

    Article  Google Scholar 

  19. Darnon M, Casiez N, Chevolleau T, Dubois G, Volksen W, Frot T J, Hurand R, David T L, Posseme N, Rochat N, et al. Impact of low-k structure and porosity on etch processes. Journal of Vacuum Science & Technology B, 2013, 31: 011207

    Article  CAS  Google Scholar 

  20. Zotovich A, Rezvanov A, Chanson R, Zhang L, Hacker N, Kurchikov K, Klimin S, Zyryanov S M, Lopaev D, Gornev E, et al. Low-k protection from F radicals and VUV photons using a multilayer pore grafting approach. Journal of physic D, 2018, 51: 325202

    Article  CAS  Google Scholar 

Download references

Acknowledgements

The authors acknowledge Dr. P. Shen and K. Urabe from Air Liquide Laboratories for providing HBPO, Sumida, Mikado and Akita molecules. This project has received funding from the European Union’s Horizon 2020 research and innovation program under the Marie Sklodowska-Curie grant agreement No. 708106.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Romain Chanson.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Chanson, R., Dussart, R., Tillocher, T. et al. Low-k integration: Gas screening for cryogenic etching and plasma damage mitigation. Front. Chem. Sci. Eng. 13, 511–516 (2019). https://doi.org/10.1007/s11705-019-1820-5

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11705-019-1820-5

Keywords

Navigation