Skip to main content
Log in

An Efficient Metric-Guided Gate Sizing Methodology for Guardband Reduction Under Process Variations and Aging Effects

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Circuit reliability due to Bias Temperature Instability, BTI, has become an important concern in scaled-down complex electronic systems. Even more, current silicon technologies are severely affected by the combined impact of BTI-induced device’s aging and Process-induced device’s parameters variations. The conventional worst-case guardbanding to deal with reliable circuit operation is not longer an efficient approach as the circuit performance is significantly penalized. This paper presents a gate-sizing optimization me-thodology to reduce the worst-case guardbanding considering the combined effects of aging due to BTI and process variations. The proposed methodology allows to trade-off the reduction of guardbanding and the area cost. The proposed methodology uses multiple workload-aware aging analysis procedures to identify a realistic workload condition that causes maximum degradation to each potential critical paths of the circuit. In such a way, classic worst-BTI assumptions that lead to over-design are avoided. New gate-sizing metrics are proposed to identify the most beneficial gates to resize in the delay optimization process. In order to compute the gate sizing metrics efficiently, it is proposed a fast approximation for the sensitivity of the statistical delay of a path with respect to a change in the size of a gate. Also, the criticality, slack-time and area penalization are considered in the metric. A heuristic is proposed to guide the iterative delay optimization process. Some key conditions are identified in the workload analysis, metric evaluation and the heuristic to reduce the computational cost. The results show clearly the benefits of using multiple workload-aware aging analysis and the proposed gate-sizing metrics. It is shown that the proposed gate-sizing metrics are more efficient than others available in the literature since they provide a better area-guardband reduction trade-off. The proposed methodology results in more reliable designs at low area overhead, and it is suitable to guarantee the stringent quality requirements of modern circuits.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10

Similar content being viewed by others

References

  1. Kaczer B, Grasser T, Franco J, Luque MT, Weckx P, Roussel PJ, Groeseneken G (2012) Assessing reliability of nano-scaled cmos technologies one defect at a time. In: Proceedings International conference on emerging electronics, pp 1–2

  2. Eghbalkhah B, Kamal M, Afzali-Kusha H, Afzali-Kusha A, Ghaznavi-Ghoushchi MB, Pedram M (2015) Workload and temperature dependent evaluation of bti-induced lifetime degradation in digital circuits. Microelectron Reliab 55(8):1152–1162

    Article  Google Scholar 

  3. Bian S, Shintani M, Morita S, Awano H, Hiromoto M, Sato T (2016) Workload-aware worst path analysis of processor-scale nbti degradation. In: 2016 International great lakes symposium on VLSI (GLSVLSI), pp 203–208

  4. Khan S, Hamdioui S, Kukner H, Raghavan P, Catthoor F (2012) Incorporating parameter variations in bti impact on nano-scale logical gates analysis. In: Proceedings IEEE international symposium on defect and fault tolerance in VLSI and nanotechnology systems (DFT), pp 158–163

  5. Lu Y, Shang L, Zhou H, Zhu H, Yang F, Zeng X (2009) Statistical reliability analysis under process variation and aging effects. In: Proceedings design automation conference, 2009. DAC ’09. 46th ACM/IEEE, pp 514–519

  6. Alam MA, Roy K, Augustine C (2011) Reliability- and process-variation aware design of integrated circuits — a broader perspective. In: Proceedings international reliability physics symposium, pp 4a.1.1–4a.1.11

  7. van Santen VM, Amrouch H, Martin-Martinez J, Nafria M, Henkel J (2016) Designing guardbands for instantaneous aging effects. In: Proceedings of the 53rd annual design automation conference, DAC ’16, pp 69:1–69:6, New York, NY, USA. ACM

  8. Wu KC, Marculescu D (2009) Joint logic restructuring and pin reordering against nbti-induced performance degradation. In: Proceedings design, automation test in Europe conference exhibition, pp 75–80

  9. Kiamehr S, Firouzi F, Tahoori MB (2012) Input and transistor reordering for nbti and hci reduction in complex cmos gates. In: Proceedings of the Great Lakes Symposium on VLSI, GLSVLSI ’12, pp 201–206, New York, NY, USA. ACM

  10. Abbas Z, Olivieri M, Khalid U, Ripp A, Pronath M (2015) Optimal nbti degradation and pvt variation resistant device sizing in a full adder cell. In: Proceedings international conference on reliability, infocom technologies and optimization (ICRITO) (trends and future directions), pp 1–6

  11. Kiamehr S, Firouzi F, Ebrahimi M, Tahoori MB (2014) Aging-aware standard cell library design. In: Proceedings design, automation test in Europe conference exhibition (DATE), pp 1–4

  12. Yabuuchi M, Kobayashi K (2016) Size optimization technique for logic circuits that considers bti and process variations. IPSJ Trans Syst LSI Design Methodol 9:72–78

    Article  Google Scholar 

  13. Lin I-C, Syu S-M, Ho T-Y (2014) Nbti tolerance and leakage reduction using gate sizing. J Emerg Technol Comput Syst 11(1):4:1–4:12

    Google Scholar 

  14. Yang X, Saluja K (2007) Combating nbti degradation via gate sizing. In: Proceedings international symposium on quality electronic design (ISQED’07), pp 47–52

  15. Khan S, Hamdioui S (2011) Modeling and mitigating nbti in nanoscale circuits. In: Proceedings IEEE 17th international on-line testing symposium, pp 1–6

  16. Yang S, Wang W, Hagan M, Zhang W, Gupta P, Cao Y (2013) Nbti-aware circuit node criticality computation. J Emerg Technol Comput Syst 9(3):23:1–23:19

    Article  Google Scholar 

  17. Kostin S, Raik J, Ubar R, Jenihhin M, Vargas F, Poehls LMB, Copetti TS (2014) Hierarchical identification of nbti-critical gates in nanoscale logic. In: Proceedings Latin American test workshop - LATW, pp 1–6

  18. Jin S, Han Y, Li H, Li X (2011) Statistical lifetime reliability optimization considering joint effect of process variation and aging. Integration, the {VLSI}, J 44(3):185–191

    Article  Google Scholar 

  19. Duan S, Halak B, Zwolinski M (2017) An ageing-aware digital synthesis approach. In: Proceedings 2017 14th international conference on synthesis, modeling, analysis and simulation methods and applications to circuit design (SMACD), pp 1–4

  20. Gomez AF, Gomez R, Champac V (2018) A metric-guided gate-sizing methodology for aging guardband reduction. In: 2018 IEEE 19Th Latin-American test symposium (LATS), pp 1–6

  21. Zafar S, Kumar A, Gusev E, Cartier E (2005) Threshold voltage instabilities in high- kappa; gate dielectric stacks. IEEE Trans Device Mater Reliab 5(1):45–64

    Article  Google Scholar 

  22. Islam AE, Goel N, Mahapatra S, Alam MA (2016) Reaction-diffusion model, pp 181–207. Springer India, New Delhi

    Google Scholar 

  23. Sutaria KB, Velamala JB, Ramkumar A, Cao Y (2015) Compact modeling of BTI for circuit reliability analysis, pp 93–119. Springer, New York, p 1

    Google Scholar 

  24. Tudor B, Wang J, Chen Z, Tan R, Liu W, Lee F (2012) An accurate mosfet aging model for 28nm integrated circuit simulation. Microelectron Reliab 52(8):1565–1570. ICMAT 2011 - Reliability and variability of semiconductor devices and ICs

    Article  Google Scholar 

  25. Yang HI, Yang SC, Hwang W, Chuang CT (2011) Impacts of nbti/pbti on timing control circuits and degradation tolerant design in nanoscale cmos sram. IEEE Trans Circuits Syst I: Regular Papers 58(6):1239–1251

    Article  MathSciNet  Google Scholar 

  26. Krishnappa SK, Singh H, Mahmoodi H (2010) Incorporating effects of process, voltage and temperature variation in bti model for circuit design

  27. Jin S, Han Y, Li H, Li X (2010) p2 clraf An pre- and post-silicon cooperated circuit lifetime reliability analysis framework. In: Proceedings 19th IEEE asian test symposium, pp 117–120

  28. Wang W, Reddy V, Bo Yang, Balakrishnan V, Krishnan S, Cao Y (2008) Statistical prediction of circuit aging under process variations. In: Proceedings 2008 IEEE custom integrated circuits conference, pp 13–16

  29. Blaauw D, Chopra K, Srivastava A, Scheffer L (2008) Statistical timing analysis: from basic principles to state of the art. IEEE Trans Comput Aided Des Integr Circuits Syst 27(4):589–607

    Article  Google Scholar 

  30. Xiong J, Zolotov V, He L (2007) Robust extraction of spatial correlation. IEEE Trans Comput Aided Des Integr Circuits Syst 26(4):619–631

    Article  Google Scholar 

  31. Sivadasan A, Cacho F, Benhassain SA, Huard V, Anghel L (2016) Study of workload impact on bti hci induced aging of digital circuits. In: Proceedings 2016 design, automation test in europe conference exhibition (DATE), pp 1020–1021

  32. White Paper Freescale (2008) Thermal analysis of semiconductor systems

  33. https://www.synopsys.com

Download references

Acknowledgments

This work was supported by CONACYT (Mexico) through the Ph.D. scholarship number 420129/264560.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Andres Gomez.

Additional information

Responsible Editor: L. M. Bolzani Pöhls

Publisher’s Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Gomez, A., Champac, V. An Efficient Metric-Guided Gate Sizing Methodology for Guardband Reduction Under Process Variations and Aging Effects. J Electron Test 35, 87–100 (2019). https://doi.org/10.1007/s10836-019-05772-5

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-019-05772-5

Keywords

Navigation