Skip to main content
Log in

Design of differential TG based 8T SRAM cell for ultralow-power applications

  • Technical Paper
  • Published:
Microsystem Technologies Aims and scope Submit manuscript

Abstract

Low power cache memory in a system on chip is in high demand today. With the lowering of MOSFET’s channel length, low-power SRAM design has become a more challenging task. This paper presents differential 8T SRAM cell with minimum power utilization. The proposed cell has one pair of transmission gate as access switches. Due to use of TG instead of pass gate access transistor its write access time (TWA) is short. The low power consumption of the cell is due to stacking effect. This paper compares design metrics of the proposed cell with conventional 6T (CON6T) and ZIGZAG 8T (ZG8T) SRAM cells. The proposed 8T SRAM cell shows 1.15×/1.17× improvement in TWA as compared to CON6T/ZG8T at a penalty of 2.65×/2× in read access time (TRA). The proposed cell consumes 3.22× less hold power compared to both CON6T and ZG8T SRAM cells. And the proposed cell consumes 4.41× (4.44×) less write power as compared to CON6T (ZG8T) SRAM cell. Our proposed cell takes 1.37× lower chip area as compared to ZG8T cell at the expense of 1.49× higher area as compared to CON6T SRAM cell. The proposed cell also achieves 1.5×/3× higher stability during write operation as compared to CON6T/ZG8T SRAM cell, respectively. Read static margin of the proposed cell is same as CON6T but 3.2× lower than ZG8T SRAM cell.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18
Fig. 19
Fig. 20
Fig. 21

Similar content being viewed by others

References

  • Amelifard B, Fallah F, Pedram M (2008) Leakage minimization of SRAM cells in a dual-Vt and dual-Tox technology. In: IEEE transactions on very large scale integration (VLSI) systems, vol 16, no 7, pp 851–886

  • Anand N, Roy C, Islam A (2014) Highly stable subthreshold single-ended 7T SRAM cell. In: 2014 2nd international conference on emerging technology trends in electronics, communication and networking, pp 1–4. https://doi.org/10.1109/et2ecn.2014.7044928

  • Anand N, Sinha A, Roy C, Islam A (2015) Design of a stable read-decoupled 6T SRAM cell at 16-Nm technology node. In: 2015 IEEE international conference on computational intelligence & communication technology, Ghaziabad, pp 524–528. https://doi.org/10.1109/cict.2015.117

  • Calhoun BH, Chandrakasan A (2007) A 256 kb subthreshold SRAM in 65 nm CMOS. IEEE J Solid State Circuits 42(3):680–688

    Article  Google Scholar 

  • Calhoun et al (2010) Flexible circuits and architectures for ultralow power. Proc IEEE 98(2):267–282

    Article  Google Scholar 

  • Chandrakasan AP, Daly DC, Kwong J, Ramadass YK (2008) Next generation micro-power systems. In: Proceedings of the IEEE symposium on VLSI circuits, pp 2–5

  • Chang L et al (2008) An 8T-SRAM for variability tolerance and low-voltage operation in high-performance caches. IEEE J Solid State Circuits 43(4):956–963

    Article  Google Scholar 

  • Chang IJ, Kim J, Park SP, Roy K (2009) A 32 kb 10 T subthreshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS. IEEE J Solid State Circuits 44(2):650–658

    Article  Google Scholar 

  • Chen G et al (2010) Millimeter-scale nearly perpetual sensor system with stacked battery and solar cells. In: Proceedings of IEEE international solid-state circuits conference digest of technical papers, pp 288–289

  • Islam A, Hasan M (2012a) Leakage characterization of 10T SRAM Cell. IEEE Trans Electron Devices 59(3):631–638. https://doi.org/10.1109/TED.2011.2181387

    Article  Google Scholar 

  • Islam A, Hasan M (2012b) A technique to mitigate impact of process, voltage and temperature variations on design metrics of SRAM cell. Microelectron Reliab 52(2):405–411

    Article  Google Scholar 

  • Islam A, Hasan M (2012c) Variability aware low leakage reliable SRAM cell design technique. Microelectron Reliab 52(6):1247–1252. https://doi.org/10.1016/j.microrel.2012.01.003

    Article  Google Scholar 

  • Islam A, Hasan M, Arslan T (2012) Variation resilient subthreshold SRAM cell design technique. Int J Electron 99(9):1223–1227. https://doi.org/10.1080/00207217.2012.669708

    Article  Google Scholar 

  • Jiao H, Qiu Y, Kursun V (2016) Low power and robust memory circuits with assymetrical ground gating. Microelectron J 48:109–119

    Article  Google Scholar 

  • Joshi RV et al (2009) Design of sub-90 nm low-power and variation tolerant PD/SOI SRAM cell based on dynamic stability metrics. IEEE J Solid State Circuits 44(3):965–976

    Article  Google Scholar 

  • Kim TH, Liu J, Keane J, Kim CH (2007) A high-density subthreshold SRAM with data-independent bitline leakage and virtual-ground replica scheme. In: Proceedings of IEEE ISSCC digest of technical papers, pp. 330–606

  • Kim T, Liu J, Keane J, Kim C (2008) A 0.2 V, 480 kb subthreshold SRAM with 1 k cells per bitline for ultra-low-voltage computing. IEEE J Solid State Circuits 43(2):518–529

    Article  Google Scholar 

  • Kim T, Liu J, Kim C (2009) A voltage scalable 0.26 V, 64 kb 8T SRAM with Vmin lowering techniques and deep sleep mode. IEEE J Solid State Circuits 44(6):1785–1795

    Article  Google Scholar 

  • Kulkarni JP, Kim K, Roy K (2007) A 160 mV robust Schmitt trigger based subthreshold SRAM. IEEE J Solid State Circuits 42(10):2303–2313

    Article  Google Scholar 

  • Kursun V, Friedman EG (2006) Multi-voltage CMOS circuit design. Wiley, New York

    Book  Google Scholar 

  • Lien NC et al (2014) A 40 nm 512 kb cross-point 8 T pipeline SRAM with binary word-line boosting control, ripple bit-line and adaptive data-aware write-assist. In: IEEE transactions on circuits and systems I, regular papers, vol 61, no 12, pp 3416–3425

  • Lin JF, Hwang YT, Sheu MH, Ho CC (2007) A novel high-speed and energy efficient 10-transistor full adder design. In: IEEE transactions on circuits and systems I, regular papers, vol 54, no 5, pp 1050–1059

  • Lin S, Kim YB, Lombardi F (2008) A highly-stable nanometer memory for low-power design. In: 2008 IEEE international workshop on design and test of nano devices, circuits and systems, Cambridge, MA, pp 17–20

  • Liu Z, Kursan V (2008) Characterization of a novel nine-transistor SRAM cell. In: IEEE Transactions on very large scale integration (VLSI) systems, vol 16, no 4

  • Lo CH, Huang SY (2011) P-P-N based 10T SRAM cell for low-leakage and resilient subthreshold operation. IEEE J Solid State Circuits 46(3):695–704

    Article  MathSciNet  Google Scholar 

  • Nanoscale Integration and Modeling (NIMO) Group (2018) Arizona State University (ASU) (Online). http://ptm.asu.edu/. Accessed 15 Mar 2017

  • Pal S, Islam A (2016) Variation tolerant differential 8T SRAM cell for ultralow power applications. In: IEEE transactions on computer-aided design of integrated circuits and systems, vol 35, no 4, pp 549–558. https://doi.org/10.1109/tcad.2015.2474408

  • Pal S, Islam A (2016b) 9-T SRAM cell for reliable ultralow-power applications and solving multibit soft-error issue. IEEE Trans Device Mater Reliab 16(2):172–182. https://doi.org/10.1109/TDMR.2016.2544780

    Article  Google Scholar 

  • Rabaey JM, Chandrakasan A, Nikolic B (2005) Digital integrated circuits: a design perspective, 2nd edn. Prentice-Hall, New Delhi

    Google Scholar 

  • Roy C, Islam A (2015) Comparative analysis of various 9T SRAM cell at 22-nm technology node. In: IEEE 2nd international conference on recent trends in information systems (ReTIS), Kolkata, pp 491–496. https://doi.org/10.1109/retis.2015.7232929

  • Roy C, Islam A (2016) Design of 10T SRAM cell using column-line assist and DTMOS techniques. Indian J Sci Technol. https://doi.org/10.17485/ijst/2016/v9i40/99509

    Article  Google Scholar 

  • Roy C, Islam A (2017) Power-aware source feedback single-ended 7T SRAM cell at nanoscale regime. Microsyst Technol. https://doi.org/10.1007/s00542-017-3570-y

    Article  Google Scholar 

  • Roy D, Singh AK, Anand R, Islam A (2013) Bit line and storage node decoupled 13T SRAM cell in 22-nm technology node. Wulfenia J 20(3):40–55

    Google Scholar 

  • Seevinck E, List FJ, Lohstroh J (1987) Static-noise margin analysis of MOS SRAM cells. IEEE J Solid State Circuits 22(5):748–754

    Article  Google Scholar 

  • Semiconductor Industry Association (SIA) (2013) international technology roadmap for semiconductors 2011 edition (Online). http://www.itrs2.net/itrs-reports.html. Accessed 15 Mar 2017

  • Sery G et al (2002) Life is CMOS: why chase life after? In: Proceedings of the IEEE design automation conference, pp 78–83

  • Sinha A, Islam Aminul (2016) Low-power half-select free single-ended 10 transistor SRAM cell. Microsyst Technol Micro Nanosyst Inf Storage Process Syst 22(6):1–12. https://doi.org/10.1007/s00542-016-3032-y

    Article  Google Scholar 

  • Soeleman H, Roy K (1999) Ultralow power digital subthreshold logic circuits. In: Proceedings of ISLPED, pp 94–96

  • Sridhara SR et al (2011) Microwatt embedded processor platform for medical system-on-chip applications. IEEE J Solid State Circuits 46(4):721–730

    Article  Google Scholar 

  • Verma N, Chandrakasan AP (2007) A 65 nm 8T sub-Vt SRAM employing sense-amplifier redundancy. In: Proceedings of IEEE ISSCC digest on technical papers, pp 328–606

  • Verma N, Chandrakasan A (2008) A 256 kb 65 nm 8T sub-Vt SRAM employing sense-amplifier redundancy. IEEE J Solid State Circuits 43(1):141–149

    Article  Google Scholar 

  • Wang MH et al (2007) A 85 mV 40 nW process-tolerant sub-threshold 8 × 8 FIR filter in 130 nm technology. In: Proceedings of symposium on VLSI circuits digest, pp 154–155

  • Wang JM, Fang SC, Feng W-S (1994) New efficient designs for XOR and XNOR functions on the transistor level. IEEE J Solid State Circuits 29(7):780–786

    Article  Google Scholar 

  • Wang et al (2006) Subthreshold design for ultra-low-power systems. Springer, New York

    Google Scholar 

  • Online. http://www-device.eecs.berkeley.edu/links.htm. Accessed 15 Mar 2017

  • Yang B, Kim L (2005) A low-power SRAM using hierarchical bit line and local sense amplifiers. IEEE J Solid State Circuits 40(6):1366–1376

    Article  Google Scholar 

  • Zhai B, Blaauw D, Sylvester D (2008) A variation-tolerant sub-200 mV 6-T subthreshold SRAM. IEEE J Solid State Circuits 43(10):2338–2348

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Chandramaulashwar Roy.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Roy, C., Islam, A. Design of differential TG based 8T SRAM cell for ultralow-power applications. Microsyst Technol 26, 3299–3310 (2020). https://doi.org/10.1007/s00542-018-4035-7

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s00542-018-4035-7

Navigation