Skip to main content

Part of the book series: Lecture Notes in Electrical Engineering ((LNEE,volume 748))

  • 1113 Accesses

Abstract

Silicon validation is the most time-consuming process in the VLSI design flow. It mainly involves pre-silicon validation and post silicon validation. Post-silicon validation has limited visibility and control of internal signals and is the most time-consuming task. To help in narrowing down the features responsible for failure and reproduce the bug, the power of machine learning is leveraged. Based on the data provided, machine learning is used to provide the feature weights that control the failure of the device, thereby leading to faster reproduction of the failure. The framework that stresses the CPU requires manual intervention for providing test vectors and hence to have a faster response, the framework is automated with a reset mechanism so that it can include tests that are expected to fail on the device. Based on sample data, the machine learning model using supervised learning algorithms is developed. Results show that the model is accurately able to predict the features responsible for failure when applied on real time chip data. Due to automation, there is about 66% saving in time when compared to the manual process, thereby giving more time for more experiments to be run on the device and faster time to market.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 229.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 299.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 299.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Similar content being viewed by others

References

  1. Pridhiviraj P, Tomar Dheerendra S, Muralidhar P (2015) Adaptive post-silicon server validation using machine learning. Int J Appl Inf Syst 9(1):24–32

    Google Scholar 

  2. DeOrio A, Li Q, Burgess M, Bertacco V (2013) Machine learning-based anomaly detection for post-silicon bug diagnosis. In: Design, Automation & Test in Europe Conference & Exhibition (DATE)

    Google Scholar 

  3. Zhuo C, Yu B, Gao D (2017) Accelerating chip design with machine learning: from pre-silicon to post-silicon. In: 30th IEEE International System-on-Chip Conference (SOCC)

    Google Scholar 

  4. Mandouh EE, Wassal AG (2017) Application of machine learning techniques in post-silicon debugging and bug localization. J Electron Test 34(2):163–181

    Article  Google Scholar 

  5. Park S, Bracy A, Wang H, Mitra S (2010) Blog: post-silicon bug localization in processors using bug localization graphs. In: Proceedings of the DAC

    Google Scholar 

  6. Mitra S, Seshia SA, Nicolici N (2010) Post-silicon validation opportunities, challenges and recent advances. In: Proceedings of the DAC

    Google Scholar 

  7. Wang S, Wei W (2009) Machine learning-based volume diagnosis. In: Proceedings of the DATE

    Google Scholar 

  8. Kim D, Kang P, Cho S, Lee H, Doh S (2012) Machine learning-based novelty detection for faulty wafer detection in semiconductor manufacturing. Exp Syst Appl 39(4):4075–4083

    Article  Google Scholar 

  9. He QP, Wang J (2007) Fault detection using the k-nearest neighbor rule for semiconductor manufacturing processes. IEEE Trans Semicond Manuf 20(4):345–354

    Article  Google Scholar 

  10. DeOrio A, Khudia DS, Bertacco V (2011) Post-silicon bug diagnosis with inconsistent executions. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD)

    Google Scholar 

  11. Basu K, Mishra P (2013) Rats restoration-aware trace signal selection for post- silicon validation. IEEE Trans Very Large-Scale Integr (VLSI) Syst 13(4):605–613

    Article  Google Scholar 

  12. Keshava J, Hakim N, Prudvi C (2010) Post-silicon validation challenges: how EDA and academia can help. In: Design Automation Conference

    Google Scholar 

  13. Josephson D (2006) The good, the bad, and the ugly of silicon debug. In: 43rd ACM/IEEE Design Automation Conference

    Google Scholar 

  14. Bishop CM (2007) Pattern Recognition and Machine Learning

    Google Scholar 

  15. Mitchell T (1997) Machine Learning

    Google Scholar 

  16. Rahmani K, Mishra P (2017) Feature-based signal selection for post-silicon debug using machine learning. IEEE Trans Emerg Top Comput 8:907–915

    Google Scholar 

  17. Rahmani K, Ray S, Mishra P (2017) Postsilicon trace signal selection using machine learning techniques. IEEE Trans Very Large Scale Integr (VLSI) Syst 25(2):570–580

    Article  Google Scholar 

  18. Youn S, Gu C, Kim J (2014) Probabilistic bug localization via statistical inference based on partially observed data. In: Proceedings of the DAC, pp 1–6

    Google Scholar 

  19. Yang H, Su J, Zou Y, Yu B, Young EFY (2017) Imbalance aware lithography hotspot detection: a deep learning approach. In: Proceedings of the DAC, pp 62:1–62:6

    Google Scholar 

  20. Capodieci L (2017) Data analytics and machine learning for design-process-yield optimization in electronic design automation and IC semiconductor manufacturing. In: Proceedings of the CSTIC, pp 1–3

    Google Scholar 

  21. De Paula FM, Gort M, Hu AJ, Wilton SJE, Yang J (2008) Backspace: formal analysis for post-silicon debug. In: Formal Methods in Computer-Aided Design

    Google Scholar 

  22. Wagner I, Bertacco V (2008) Reversi: post-silicon validation system for modern microprocessors. In: IEEE International Conference on Computer Design

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2021 The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd.

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Ashish, B.P., Palecha, N. (2021). Adaptive Feedback Mechanism for Silicon Bug Detection. In: Nath, V., Mandal, J.K. (eds) Proceeding of Fifth International Conference on Microelectronics, Computing and Communication Systems. Lecture Notes in Electrical Engineering, vol 748. Springer, Singapore. https://doi.org/10.1007/978-981-16-0275-7_46

Download citation

  • DOI: https://doi.org/10.1007/978-981-16-0275-7_46

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-16-0274-0

  • Online ISBN: 978-981-16-0275-7

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics