Skip to main content

Post-Silicon Signal Selection Using Machine Learning

  • Chapter
  • First Online:
Post-Silicon Validation and Debug

Abstract

A key constraint in post-silicon validation and debug is limited observability of internal signals. Knowledge of only primary outputs can lead to an observability of a few internal signals. The most widely used on-chip testing infrastructure is scan chains, which can provide reasonable observability. However, using scan chain involves loading of input vectors in test mode, and therefore, is not suitable for signal tracing during normal execution. Instead, a small trace buffer is commonly used to meet this purpose. Size of trace buffer is limited (can only trace a small number of signals) due to area and energy constraints. The goal of signal selection is to maximize observability by selecting the correct set of signals (hundreds out of billions) for trace buffer. Many signal selection techniques have been proposed over the years. Metric- based techniques do static analysis on design to select profitable signals. They often use greedy algorithms, which are fast but lead to poor restoration quality. In contrast, simulation-based selection techniques provide superior restorability but incur significant runtime overhead. A hybrid between these two approaches has also been proposed, which trades-off some restoration performance to reduce runtime. Recently, machine learning based signal selection techniques are emerging as the most promising one. This chapter describes two machine learning based signal selection methods. The first method trains a model to predict restoration quality based on the selected signals. This method improves runtime by performing only a small number of simulations for training. The second method demonstrates how runtime can be further improved by running simulation on small-scale designs with the similar characteristic as the actual one.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    s1494, s1488, s713, s1238, s1196, and s838.

References

  1. P. Mishra, R. Morad, A. Ziv, S. Ray, Post-silicon validation in the soc era: a tutorial introduction. IEEE Des. Test 34(3), 68–92 (2017)

    Article  Google Scholar 

  2. K. Rahmani, P. Mishra, Efficient signal selection using fine-grained combination of scan and trace buffers, in 2013 26th International Conference on VLSI Design and 2013 12th International Conference on Embedded Systems (VLSID)(IEEE, New York, 2013), pp. 308–313

    Google Scholar 

  3. K. Rahmani, P. Mishra, S.Ray, Efficient trace signal selection using augmentation and ILP techniques, in 2014 15th International Symposium on Quality Electronic Design (ISQED) (IEEE, New York, 2014), pp. 148–155

    Google Scholar 

  4. P. Thakyal, P. Mishra, Layout-aware signal selection in reconfigurable architectures, in 18th International Symposium on VLSI Design and Test (IEEE, New York, 2014), pp. 1–6

    Google Scholar 

  5. K. Rahmani, S. Proch, P. Mishra, Efficient selection of trace and scan signals for post-silicon debug. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 24(1), 313–323 (2016)

    Article  Google Scholar 

  6. K. Basu, P. Mishra, P. Patra, Efficient combination of trace and scan signals for post silicon validation and debug, in 2011 IEEE International Test Conference (ITC) (IEEE, New York, 2011), pp. 1–8

    Google Scholar 

  7. K. Rahmani, P. Mishra, Feature-based signal selection for post-silicon debug using machine learning, IEEE Trans. Emerg. Top. Comput. (2017)

    Google Scholar 

  8. K. Rahmani, S. Ray, P. Mishra, Postsilicon trace signal selection using machine learning techniques. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 25(2), 570–580 (2017)

    Article  Google Scholar 

  9. P. Thakyal, P. Mishra, Layout-aware selection of trace signals for post-silicon debug, in 2014 IEEE Computer Society Annual Symposium on VLSI (ISVLSI) (IEEE, New York, 2014), pp. 326–331

    Google Scholar 

  10. K. Basu, P. Mishra, Efficient trace signal selection for post silicon validation and debug.,in 2011 24th International Conference on VLSI Design (VLSI Design) (IEEE, New York, 2011), pp. 352–357

    Google Scholar 

  11. D. Chatterjee, C. McCarter, V. Bertacco, Simulation-based signal selection for state restoration in silicon debug, in 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (2011), pp. 595–601

    Google Scholar 

  12. M. Li, A. Davoodi, A hybrid approach for fast and accurate trace signal selection for post-silicon debug, in Design, Automation, and Test (DATE) (2013), pp. 485–490

    Google Scholar 

  13. X. Liu, Q. Xu, Trace signal selection for visibility enhancement in post-silicon validation, in DATE ’09. Design, Automation Test in Europe Conference Exhibition (2009), pp. 1338 –1343

    Google Scholar 

  14. K. Basu, P. Mishra, Rats: restoration-aware trace signal selection for post-silicon validation. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 21(4), 605–613 (2013)

    Article  Google Scholar 

  15. H. Ko, N. Nicolici, Algorithms for state restoration and trace-signal selection for data acquisition in silicon debug. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 28(2), 285–297 (2009)

    Article  Google Scholar 

  16. K. Rahmani, P. Mishra, S. Ray, Scalable trace signal selection using machine learning, in 2013 IEEE 31st International Conference on Computer Design (ICCD) (2013), pp. 384–389

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Alif Ahmed .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Ahmed, A., Rahmani, K., Mishra, P. (2019). Post-Silicon Signal Selection Using Machine Learning. In: Mishra, P., Farahmandi, F. (eds) Post-Silicon Validation and Debug. Springer, Cham. https://doi.org/10.1007/978-3-319-98116-1_6

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-98116-1_6

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-98115-4

  • Online ISBN: 978-3-319-98116-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics