Skip to main content

Power Management of Multicore Systems

  • Living reference work entry
  • First Online:
Handbook of Computer Architecture

Abstract

Multicore systems have become the de facto computing platform for electronic systems, especially since 2005 when the single-core/thread performance hit the power wall. Consequently, integrating an increasing number of processing elements on a single integrated circuit has become one of the primary research goals in both architecture- and semiconductor technology-level design. However, the increasing power density in multicore systems has also led to increasing dark silicon, where a majority of the on-chip resources need to be turned off for avoiding thermal issues. To this end, intelligent power management constitutes a major focus of research in the system-level design of multicore systems. This chapter provides a brief overview of the background knowledge and the related state-of-the-art research. The chapter presents a summary of the causes and effects of power dissipation in electronic systems along with brief descriptions of the more commonly used power reduction methods. The chapter then presents the state-of-the-art research works in power management across different scales of multicore systems: embedded systems, desktops/client PCs, and HPC servers. The chapter also provides a brief overview of the more recent topics related to power management such as power dissipation in 2.5D/3D systems, cross-layer power management, and Al/ML-based power management.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Institutional subscriptions

References

  • Acun B, Chandrasekar K, Kale LV (2019) Fine-grained energy efficiency using per-core DVFS with an adaptive runtime system. In: 2019 Tenth International Green and Sustainable Computing Conference (IGSC), pp 1–8. https://doi.org/10.1109/IGSC48788.2019.8957174

  • Agarwal A, Kim CH, Mukhopadhyay S, Roy K (2004) Leakage in nano-scale technologies: mechanisms, impact and design considerations. In: Proceedings of the 41st Annual Design Automation Conference, DAC’04. Association for Computing Machinery, New York, pp 6–11. https://doi.org/10.1145/996566.996571

    Chapter  Google Scholar 

  • Al Faruque M, Jahn J, Ebi T, Henkel J (2010) Runtime thermal management using software agents for multi-and many-core architectures. IEEE Des Test Comput 27(6):58–68

    Article  Google Scholar 

  • Amrouch H, Ebi T, Schneider J, Parameswaran S, Henkel J (2013) Analyzing the thermal hotspots in fpga-based embedded systems. In: 2013 23rd International Conference on Field programmable Logic and Applications. IEEE, pp 1–4

    Google Scholar 

  • Aroca RV, Gonçalves LMG (2012) Towards green data centers: a comparison of x86 and ARM architectures power efficiency. J Parallel Distrib Comput 72(12):1770–1780. https://doi.org/10.1016/j.jpdc.2012.08.005, https://www.sciencedirect.com/science/article/pii/S0743731512002122

  • Ayoub RZ, Ogras U, Gorbatov E, Jin Y, Kam T, Diefenbaugh P, Rosing T (2011) OS-level power minimization under tight performance constraints in general purpose systems. In: Proceedings of the 17th IEEE/ACM International Symposium on Low-Power Electronics and Design, ISLPED ’11. IEEE Press, Fukuoka, Japan, pp 321–326. https://doi.org/10.1109/ISLPED.2011.5993657

    Chapter  Google Scholar 

  • Bansal N, Pruhs KR (2010) Server scheduling to balance priorities, fairness, and average quality of service. SIAM J Comput 39(7):3311–3335

    Article  MathSciNet  MATH  Google Scholar 

  • Bhat G, Gumussoy S, Ogras UY (2019) Power and thermal analysis of commercial mobile platforms: experiments and case studies. In: 2019 Design, Automation Test in Europe Conference Exhibition (DATE), pp 144–149. https://doi.org/10.23919/DATE.2019.8714831

  • Binkert N, Beckmann B, Black G, Reinhardt SK, Saidi A, Basu A, Hestness J, Hower DR, Krishna T, Sardashti S, Sen R, Sewell K, Shoaib M, Vaish N, Hill MD, Wood DA (2011) The gem5 simulator. SIGARCH Comput Archit News 39(2):1–7. https://doi.org/10.1145/2024716.2024718

    Article  Google Scholar 

  • Brahim GB, Khan B (2006) Budgeting power: packet duplication and bit error rate reduction in wireless ad-hoc networks. In: Proceedings of the 2006 International Conference on Wireless Communications and Mobile Computing, pp 293–298

    Google Scholar 

  • Carazo P, Apolloni R, Castro F, Chaver D, Pinuel L, Tirado F (2010) L1 data cache power reduction using a forwarding predictor. In: Proceedings of the 20th International Conference on Integrated Circuit and System Design: Power and Timing Modeling, Optimization and Simulation, PATMOS’10. Springer, Berlin/Heidelberg, pp 116–125

    Google Scholar 

  • Carter NP, Naeimi H, Gardner DS (2010) Design techniques for cross-layer resilience. In: 2010 Design, Automation Test in Europe Conference Exhibition (DATE 2010), pp 1023–1028. https://doi.org/10.1109/DATE.2010.5456960

  • Chantem T, Hu XS, Dick RP (2010) Temperature-aware scheduling and assignment for hard real-time applications on MPSoCs. IEEE Trans Very Large Scale Integr (VLSI) Syst 19(10):1884–1897

    Article  Google Scholar 

  • Cox M, Singh AK, Kumar A, Corporaal H (2013) Thermal-aware mapping of streaming applications on 3D multi-processor systems. In: Proceedings of IEEE Symposium on Embedded Systems for Real-time Multimedia, pp 11–20. https://doi.org/10.1109/ESTIMedia.2013.6704498

  • Das A, Kumar A, Veeravalli B (2013) Communication and migration energy aware design space exploration for multicore systems with intermittent faults. In: 2013 Design, Automation Test in Europe Conference Exhibition (DATE), pp 1631–1636. https://doi.org/10.7873/DATE.2013.331

  • Das A, Kumar A, Veeravalli B (2014a) Energy-aware task mapping and scheduling for reliable embedded computing systems. ACM Trans Embed Comput Syst (TECS) 13(2s):1–27

    Article  Google Scholar 

  • Das A, Kumar A, Veeravalli B (2014b) Temperature aware energy-reliability trade-offs for mapping of throughput-constrained applications on multimedia MPSoCs. In: 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, pp 1–6

    Google Scholar 

  • Das A, Kumar A, Veeravalli B, Bolchini C, Miele A (2014c) Combined DVFS and mapping exploration for lifetime and soft-error susceptibility improvement in MPSoCs. In: Proceedings on Design, Automation & Test in Europe Conference & Exhibition (DATE), pp 1–6. https://doi.org/10.7873/DATE.2014.074

  • Das A, Shafik RA, Merrett GV, Al-Hashimi BM, Kumar A, Veeravalli B (2014d) Reinforcement learning-based inter-and intra-application thermal optimization for lifetime improvement of multicore systems. In: Proceedings of the 51st Annual Design Automation Conference, pp 1–6

    Google Scholar 

  • Das A, Kumar A, Veeravalli B (2015a) Reliability and energy-aware mapping and scheduling of multimedia applications on multiprocessor systems. IEEE Trans Parallel Distrib Syst (TPDS) 27(3):869–884

    Article  Google Scholar 

  • Das A, Kumar A, Veeravalli B, Shafik R, Merrett G, Al-Hashimi B (2015b) Workload uncertainty characterization and adaptive frequency scaling for energy minimization of embedded systems. In: Proceedings of Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, pp 43–48

    Google Scholar 

  • Das AK, Kumar A, Veeravalli B, Catthoor F (2018) Introduction. Springer International Publishing, Cham, pp 1–21. https://doi.org/10.1007/978-3-319-69374-3_1

    Book  Google Scholar 

  • Dennard RH, Gaensslen FH, Rideout VL, Bassous E, LeBlanc AR (1974) Design of ion-implanted MOSFET’s with very small physical dimensions. IEEE J Solid-State Circuits 9(5):256–268. https://doi.org/10.1109/JSSC.1974.1050511

    Article  Google Scholar 

  • Dey S, Singh AK, Wang X, McDonald-Maier K (2020) User interaction aware reinforcement learning for power and thermal efficiency of CPU-GPU mobile MPSoCs. In: 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, pp 1728–1733

    Google Scholar 

  • Dinakarrao SMP, Joseph A, Haridass A, Shafique M, Henkel J, Homayoun H (2019) Application and thermal-reliability-aware reinforcement learning based multi-core power management. ACM J Emerg Technol Comput Syst (JETC) 15(4):1–19

    Article  Google Scholar 

  • Flautner K, Kim NS, Martin S, Blaauw D, Mudge T (2002) Drowsy caches: simple techniques for reducing leakage power. In: Proceedings of the 29th Annual International Symposium on Computer Architecture, ISCA ’02. IEEE Computer Society, USA, pp 148–157

    Google Scholar 

  • Gnad D, Shafique M, Kriebel F, Rehman S, Sun D, Henkel J (2015) Hayat: Harnessing dark silicon and variability for aging deceleration and balancing. In: 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC). IEEE, pp 1–6

    Google Scholar 

  • Held J, Bautista J, Koehl S (2006) From a few cores to many: a tera-scale computing research overview. White paper, Intel

    Google Scholar 

  • Henkel J, Dutt N (2021) Dependable embedded systems. Springer Nature. https://link.springer.com/book/10.1007/978-3-030-52017-5

  • Huang K, Ha Y, Zhao R, Kumar A, Lian Y (2014) A low active leakage and high reliability phase change memory (PCM) based non-volatile FPGA storage element. IEEE Trans Circuits Syst I: Regul Pap 61(9):2605–2613

    Article  Google Scholar 

  • Isuwa S, Dey S, Ortega AP, Singh AK, Al-Hashimi BM, Merrett GV (2022) QUAREM: Maximising QoE through adaptive resource management in mobile MPSoC platforms. ACM Trans Embed Comput Syst (TECS) 21(4):1–29

    Article  Google Scholar 

  • Jin S, Qie X, Hao S (2019) Virtual machine allocation strategy in energy-efficient cloud data centres. Int J Commun Netw Distrib Syst 22(2):181–195

    Google Scholar 

  • Khanh PN, Singh AK, Kumar A, Aung KMM (2013) Incorporating energy and throughput awareness in design space exploration and run-time mapping for heterogeneous MPSoCs. In: 2013 Euromicro Conference on Digital System Design. IEEE, pp 513–521

    Google Scholar 

  • Kim N, Austin T, Baauw D, Mudge T, Flautner K, Hu J, Irwin M, Kandemir M, Narayanan V (2003) Leakage current: Moore’s law meets static power. Computer 36(12):68–75. https://doi.org/10.1109/MC.2003.1250885

    Article  Google Scholar 

  • Kim T, Sun Z, Chen HB, Wang H, Tan SXD (2017) Energy and lifetime optimizations for dark silicon manycore microprocessor considering both hard and soft errors. IEEE Trans Very Large Scale Integr (VLSI) Syst 25(9):2561–2574. https://doi.org/10.1109/TVLSI.2017.2707401

    Article  Google Scholar 

  • Kim W, Gupta MS, Wei GY, Brooks D (2008) System level analysis of fast, per-core DVFS using on-chip switching regulators. In: 2008 IEEE 14th International Symposium on High Performance Computer Architecture, pp 123–134. https://doi.org/10.1109/HPCA.2008.4658633

  • Kontorinis V, Shayan A, Tullsen DM, Kumar R (2009) Reducing peak power with a table-driven adaptive processor core. In: 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pp 189–200. https://doi.org/10.1145/1669112.1669137

  • Kumar R, Zyuban V, Tullsen D (2005) Interconnections in multi-core architectures: understanding mechanisms, overheads and scaling. In: 32nd International Symposium on Computer Architecture (ISCA’05), pp 408–419. https://doi.org/10.1109/ISCA.2005.34

  • Li B, Wang X, Singh AK, Mak T (2019) On runtime communication and thermal-aware application mapping and defragmentation in 3D NoC systems. IEEE Trans Parallel Distrib Syst 30(12):2775–2789

    Article  Google Scholar 

  • Li S, Ahn JH, Strong RD, Brockman JB, Tullsen DM, Jouppi NP (2013) The McPAT framework for multicore and manycore architectures: simultaneously modeling power, area, and timing. ACM Trans Archit Code Optim 10(1). https://doi.org/10.1145/2445572.2445577

  • Lin X, Wang Y, Pedram M (2016) A reinforcement learning-based power management framework for green computing data centers. In: 2016 IEEE International Conference on Cloud Engineering (IC2E). IEEE, pp 135–138

    Google Scholar 

  • Liu N, Li Z, Xu J, Xu Z, Lin S, Qiu Q, Tang J, Wang Y (2017) A hierarchical framework of cloud resource allocation and power management using deep reinforcement learning. In: 2017 IEEE 37th International Conference on Distributed Computing Systems (ICDCS). IEEE, pp 372–382

    Google Scholar 

  • Ma Y, Zhou J, Chantem T, Dick RP, Wang S, Hu XS (2018) Online resource management for improving reliability of real-time systems on “big–little” type MPSoCs. IEEE Trans Comput-Aided Des Integr Circuits Syst 39(1):88–100

    Article  Google Scholar 

  • Ma Y, Zhou J, Chantem T, Dick RP, Wang S, Hu XS (2019) Improving reliability of soft real-time embedded systems on integrated CPU and GPU platforms. IEEE Trans Comput-Aided Des Integr Circuits Syst 39(10):2218–2229

    Article  Google Scholar 

  • Mahesri A, Vardhan V (2005) Power consumption breakdown on a modern laptop. In: Falsafi B, VijayKumar TN (eds) Power-aware computer systems. Springer, Berlin/Heidelberg, pp 165–180

    Chapter  Google Scholar 

  • Mamun SA (2021) Exploring wireless data center networks: can they reduce energy consumption while providing secure connections? Ph.D. thesis, Rochester Institute of Technology, Rochester

    Google Scholar 

  • Mamun SA, Gilday A, Singh AK, Ganguly A, Merrett GV, Wang X, Al-Hashimi BM (2020) Intra-and inter-server smart task scheduling for profit and energy optimization of HPC data centers. J Low Power Electron Appl 10(4):32

    Article  Google Scholar 

  • Medina R, Borde E, Pautet L (2018) Availability enhancement and analysis for mixed-criticality systems on multi-core. In: Proceedings on Design, Automation & Test in Europe Conference & Exhibition (DATE), pp 1271–1276

    Google Scholar 

  • Mittal S (2012) A survey of architectural techniques for dram power management. Int J High Perform Syst Archit 4(2):110–119. https://doi.org/10.1504/IJHPSA.2012.050990

    Article  Google Scholar 

  • Munawar W, Khdr H, Pagani S, Shafique M, Chen JJ, Henkel J (2014) Peak power management for scheduling real-time tasks on heterogeneous many-core systems. In: Proceedings of IEEE International Conference on Parallel and Distributed Systems (ICPADS), pp 200–209. https://doi.org/10.1109/PADSW.2014.7097809

  • Narayanan S, Sartori J, Kumar R, Jones DL (2010) Scalable stochastic processors. In: 2010 Design, Automation Test in Europe Conference Exhibition (DATE 2010), pp 335–338. https://doi.org/10.1109/DATE.2010.5457181

  • Navardi M, Ranjbar B, Rohbani N, Ejlali A, Kumar A (2022) Peak-Power Aware Life-Time Reliability Improvement in Fault-Tolerant Mixed-Criticality Systems. IEEE Open J Circuits Syst 3:199–215. https://doi.org/10.1109/OJCAS.2022.3207598

    Article  Google Scholar 

  • Nawathe UG, Hassan M, Yen KC, Kumar A, Ramachandran A, Greenhill D (2008) Implementation of an 8-core, 64-thread, power-efficient sparc server on a chip. IEEE J Solid-State Circuits 43(1):6–20. https://doi.org/10.1109/JSSC.2007.910967

    Article  Google Scholar 

  • Nicolaescu D, Veidenbaum A, Nicolau A (2003) Reducing data cache energy consumption via cached load/store queue. In: Proceedings of the 2003 International Symposium on Low Power Electronics and Design, ISLPED’03. pp 252–257. https://doi.org/10.1109/LPE.2003.1231871

  • Oudaa T, Gharsellaoui H, Ahmed SB (2021) An agent-based model for resource provisioning and task scheduling in cloud computing using DRL. Proc Comput Sci 192:3795–3804

    Article  Google Scholar 

  • Pagani S, Pathania A, Shafique M, Chen JJ, Henkel J (2016) Energy efficiency for clustered heterogeneous multicores. IEEE Trans Parallel Distrib Syst (TPDS) 28(5):1315–1330

    Article  Google Scholar 

  • Pagani S, Chen JJ, Shafique M, Henkel J (2018) Advanced techniques for power, energy, and thermal management for clustered manycores. Springer. https://link.springer.com/book/10.1007/978-3-319-77479-4

    Book  Google Scholar 

  • Pathania A, Pagani S, Shafique M, Henkel J (2015) Power management for mobile games on asymmetric multi-cores. In: Proceedings of IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED). IEEE, pp 243–248

    Google Scholar 

  • PD SM, Lin J, Zhu S, Yin Y, Liu X, Huang X, Song C, Zhang W, Yan M, Yu Z, et al. (2017) A scalable network-on-chip microprocessor with 2.5D integrated memory and accelerator. IEEE Trans Circuits Syst I: Regul Pap 64(6):1432–1443

    Google Scholar 

  • Puttaswamy K, Loh GH (2007) Thermal herding: Microarchitecture techniques for controlling hotspots in high-performance 3D-integrated processors. In: 2007 IEEE 13th International Symposium on High Performance Computer Architecture, pp 193–204. https://doi.org/10.1109/HPCA.2007.346197

  • Ranjbar B, Nguyen TDA, Ejlali A, Kumar A (2019) Online peak power and maximum temperature management in multi-core mixed-criticality embedded systems. In: Proceedings of Euromicro Conference on Digital System Design (DSD), pp 546–553. https://doi.org/10.1109/DSD.2019.00084

  • Ranjbar B, Nguyen TDA, Ejlali A, Kumar A (2021) Power-aware run-time scheduler for mixed-criticality systems on multi-core platform. IEEE Trans Comput-Aided Des Integr Circuits Syst (TCAD) 40(10):2009–2023. https://doi.org/10.1109/TCAD.2020.3033374

    Article  Google Scholar 

  • Ranjbar B, Hosseinghorban A, Salehi M, Ejlali A, Kumar A (2022) Toward the design of fault-tolerance-and peak-power-aware multi-core mixed-criticality systems. IEEE Trans Comput-Aided Des Integr Circuits Syst (TCAD) 41(5):1509–1522. https://doi.org/10.1109/TCAD.2021.3082495

    Article  Google Scholar 

  • Rodrigues R, Annamalai A, Koren I, Kundu S, Khan O (2011) Performance per watt benefits of dynamic core morphing in asymmetric multicores. In: 2011 International Conference on Parallel Architectures and Compilation Techniques, pp 121–130. https://doi.org/10.1109/PACT.2011.18

  • Sahoo SS, Kumar A (2021a) CLEO-CoDE: Exploiting constrained decoding for cross-layer energy optimization in heterogeneous embedded systems. In: 2021 IFIP/IEEE 29th International Conference on Very Large Scale Integration (VLSI-SoC), pp 1–6. https://doi.org/10.1109/VLSI-SoC53125.2021.9606983

  • Sahoo SS, Kumar A (2021b) Using Monte Carlo tree search for EDA – a case-study with designing cross-layer reliability for heterogeneous embedded systems. In: 2021 IFIP/IEEE 29th International Conference on Very Large Scale Integration (VLSI-SoC), pp 1–6. https://doi.org/10.1109/VLSI-SoC53125.2021.9606987

  • Sahoo SS, Veeravalli B, Kumar A (2016) Cross-layer fault-tolerant design of real-time systems. In: 2016 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), pp 63–68. https://doi.org/10.1109/DFT.2016.7684071

  • Sahoo SS, Veeravalli B, Kumar A (2018) CLRFrame: an analysis framework for designing cross-layer reliability in embedded systems. In: 31st International Conference on VLSI Design and 17th International Conference on Embedded Systems, VLSID 2018, 6–10 Jan 2018, Pune, India, pp 307–312. https://doi.org/10.1109/VLSID.2018.81, http://doi.ieeecomputersociety.org/10.1109/VLSID.2018.81

  • Sahoo SS, Veeravalli B, Kumar A (2019) A hybrid agent-based design methodology for dynamic cross-layer reliability in heterogeneous embedded systems. In: Design Automation Conference, DAC 2019, 2–6 June 2019, Las Vegas, Nevada

    Google Scholar 

  • Sahoo SS, Veeravalli B, Kumar A (2020) CL(R)early: An early-stage DSE methodology for cross-layer reliability-aware heterogeneous embedded systems. In: 2020 57th ACM/IEEE Design Automation Conference (DAC), pp 1–6. https://doi.org/10.1109/DAC18072.2020.9218747

  • Sahoo SS, Kumar A, Decky M, Wong SCB, Merrett GV, Zhao Y, Wang J, Wang X, Singh AK (2021a) Emergent design challenges for embedded systems and paths forward: mixed-criticality, energy, reliability and security perspectives. In: Proceedings of the 2021 International Conference on Hardware/Software Codesign and System Synthesis, CODES/ISSS ’21. Association for Computing Machinery, New York, pp 1–10. https://doi.org/10.1145/3478684.3479246

    Google Scholar 

  • Sahoo SS, Ranjbar B, Kumar A (2021b) Reliability-aware resource management in multi-/many-core systems: a perspective paper. J Low Power Electron Appl 11(1):7

    Article  Google Scholar 

  • Salehi M, Ejlali A (2014) A hardware platform for evaluating low-energy multiprocessor embedded systems based on cots devices. IEEE Trans Ind Electron (TIE) 62(2):1262–1269

    Article  Google Scholar 

  • Shafique M, Khan MUK, Tüfek O, Henkel J (2015) EnAAM: energy-efficient anti-aging for on-chip video memories. In: Proceedings of the 52nd Annual Design Automation Conference, pp 1–6

    Google Scholar 

  • Sheikh SZ, Pasha MA (2018) Energy-efficient multicore scheduling for hard real-time systems: a survey. ACM Trans Embed Comput Syst 17(6). https://doi.org/10.1145/3291387

  • Shekarisaz M, Hoseinghorban A, Bazzaz M, Salehi M, Ejlali A (2021) MASTER: Reclamation of hybrid scratchpad memory to maximize energy saving in multi-core edge systems. IEEE Trans Sustain Comput

    Google Scholar 

  • Singh AK, Das A, Kumar A (2013) Energy optimization by exploiting execution slacks in streaming applications on multiprocessor systems. In: Proceedings of the Design Automation Conference (DAC), pp 1–7

    Google Scholar 

  • Singh AK, Dziurzanski P, Indrusiak LS (2015a) Market-inspired Dynamic Resource Allocation in Many-core High Performance Computing Systems. In: IEEE International Conference on High Performance Computing & Simulation (HPCS), pp 413–420

    Google Scholar 

  • Singh AK, Dziurzanski P, Indrusiak LS (2015b) Value and energy optimizing dynamic resource allocation in many-core HPC systems. In: 2015 IEEE 7th International Conference on Cloud Computing Technology and Science (CloudCom). IEEE, pp 180–185

    Google Scholar 

  • Singh AK, Dziurzanski P, Indrusiak LS (2016a) Value and energy aware adaptive resource allocation of soft real-time jobs on many-core HPC data centers. In: 2016 IEEE 19th International Symposium on Real-Time Distributed Computing (ISORC). IEEE, pp 190–197

    Google Scholar 

  • Singh AK, Shafique M, Kumar A, Henkel J (2016b) Analysis and mapping for thermal and energy efficiency of 3-D video processing on 3-D multicore processors. IEEE Trans Very Large Scale Integr (VLSI) Syst 24(8):2745–2758

    Article  Google Scholar 

  • Singh AK, Dey S, McDonald-Maier K, Basireddy KR, Merrett GV, Al-Hashimi BM (2020) Dynamic energy and thermal management of multi-core mobile platforms: a survey. IEEE Design & Test 37(5):25–33

    Article  Google Scholar 

  • Sun G, Liao D, Zhao D, Xu Z, Yu H (2015) Live migration for multiple correlated virtual machines in cloud-based data centers. IEEE Trans Services Comput 11(2):279–291

    Article  Google Scholar 

  • Turakhia Y, Raghunathan B, Garg S, Marculescu D (2013) Hades: architectural synthesis for heterogeneous dark silicon chip multi-processors. In: 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC), pp 1–7. https://doi.org/10.1145/2463209.2488948

  • Walker MJ, Merrett GV, Al-Hashimi B (2019) Power modelling of multicore systems. https://doi.org/10.1049/PBPC022E_ch13, https://digital-library.theiet.org/content/books/10.1049/pbpc022e_ch13

  • Weste NH, Harris D (2015) CMOS VLSI design: a circuits and systems perspective. Pearson Education India

    Google Scholar 

  • Zhu Z, Zhang W, Chaturvedi V, Singh AK (2020) Energy minimization for multicore platforms through DVFS and VR phase scaling with comprehensive convex model. IEEE Trans on Comput-Aided Des Integr Circuits Syst 39(3):686–699. https://doi.org/10.1109/TCAD.2019.2894835

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Akash Kumar .

Editor information

Editors and Affiliations

Section Editor information

Rights and permissions

Reprints and permissions

Copyright information

© 2023 Springer Nature Singapore Pte Ltd.

About this entry

Check for updates. Verify currency and authenticity via CrossMark

Cite this entry

Ranjbar, B., Singh, A.K., Sahoo, S.S., Dziurzanski, P., Kumar, A. (2023). Power Management of Multicore Systems. In: Chattopadhyay, A. (eds) Handbook of Computer Architecture. Springer, Singapore. https://doi.org/10.1007/978-981-15-6401-7_55-1

Download citation

  • DOI: https://doi.org/10.1007/978-981-15-6401-7_55-1

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-15-6401-7

  • Online ISBN: 978-981-15-6401-7

  • eBook Packages: Springer Reference EngineeringReference Module Computer Science and Engineering

Publish with us

Policies and ethics