Skip to main content

Multiple On-Chip Power Supply Systems

  • Chapter
  • First Online:
On-Chip Power Delivery and Management

Abstract

With recent developments in nanometer CMOS technologies, excessive power dissipation has become a limiting factor in integrating a greater number of transistors onto a single monolithic substrate. With the introduction of systems-on-chip, systems-in-package (SiP), and 3-D integrated technologies , the problem of heat removal has further worsened [591–593]. Unless power consumption is dramatically reduced, packaging and performance of ultra large scale integration (ULSI) circuits will become fundamentally limited by heat dissipation.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 139.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 179.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 249.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. P. Hazucha et al., A 233-MHz 80% –87% efficient four-phase DC – DC converter utilizing air-core inductors on package. IEEE J. Solid-State Circuits 40(4), 838–845 (2005)

    Article  Google Scholar 

  2. V. Kursun, E.G. Friedman, Multi-Voltage CMOS Circuit Design (Wiley, Hoboken, 2006)

    Book  Google Scholar 

  3. V. Kursun, S.G. Narendra, V.K. De, E.G. Friedman, Analysis of buck converters for on-chip integration with a dual supply voltage microprocessor. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 11(3), 514–522 (2003)

    Google Scholar 

  4. S. Mutoh, T. Douseki, Y. Matsuya, T. Aoki, S. Shigematsu, J. Yamada, 1-V power supply high-speed digital circuit technology with multithreshold-voltage CMOS. IEEE J. Solid-State Circuits 30(8), 847–854 (1995)

    Article  Google Scholar 

  5. P. Cauvet, S. Bernard, M. Renovell, System-in-package, a combination of challenges and solutions, in Proceedings of the IEEE VLSI Test Symposium, pp. 193–199, May 2007

    Google Scholar 

  6. B. Vaisband, I. Savidis, E.G. Friedman, Thermal conduction path analysis in 3-D ICs, in Proceedings of the IEEE International Symposium on Circuit and Systems, pp. 594–597, June 2014

    Google Scholar 

  7. A.P. Chandrakasan, R.W. Brodersen, Low-Power CMOS Design (Wiley-IEEE Press, New York, 1998)

    Book  Google Scholar 

  8. C. Piguet, Low-Power Processors and Systems on Chips (CRC Press, Boca Raton, 2005)

    Book  Google Scholar 

  9. A.P. Chandrakasan, M. Potkonjak, J. Rabaey, R.W. Brodersen, HYPER-LP: a system for power minimization using architectural transformations, in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pp. 300–303, Nov 1992

    Google Scholar 

  10. A.P. Chandrakasan, M. Potkonjak, R. Mehra, J. Rabaey, R.W. Brodersen, Optimizing power using transformations. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 14(1), 12–31 (1995)

    Article  Google Scholar 

  11. A.P. Chandrakasan, S. Sheng, R.W. Brodersen, Low-power CMOS digital design. IEEE J. Solid-State Circuits 27(4), 473–484 (1992)

    Article  Google Scholar 

  12. T. Kuroda et al., A high-speed low-power 0.3 μm CMOS gate array with variable threshold voltage (VT) scheme, in Proceedings of the IEEE Custom Integrated Circuits Conference, pp. 53–56, May 1996

    Google Scholar 

  13. V. Kursun, E.G. Friedman, Domino logic with variable threshold keeper. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 11(6), 1080–1093 (2003)

    Google Scholar 

  14. V. Kursun, E.G. Friedman, Sleep switch dual threshold voltage domino logic with reduced standby leakage current. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 12(5), 485–496 (2004)

    Google Scholar 

  15. K. Usami, T. Ishikawa, M. Kanazawa, H. Kotani, Low-power design technique for ASIC’s by partially reducing supply voltage, in Proceedings of the IEEE International ASIC Conference, pp. 301–304, Sept 1996

    Google Scholar 

  16. D. Marculescu, Power efficient processors using multiple supply voltages, in Proceedings of the Workshop on Compilers and Operating Systems for Low Power, Oct 2000

    Google Scholar 

  17. J.-M. Chang, M. Pedram, Energy minimization using multiple supply voltages, in Proceedings of the IEEE International Symposium on Low Power Electronics and Design, pp. 157–162, Aug 1996

    Google Scholar 

  18. J.-M. Chang, M. Pedram, Energy minimization using multiple supply voltages. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 5(4), 436–443 (1997)

    Google Scholar 

  19. R.I. Bahar, H. Cho, G.D. Hachtel, E. Macii, F. Somenzi, An application of ADD-based timing analysis to combinational low power Re-synthesis, in Proceedings of the ACM/IEEE International Workshop on Low Power Design, pp. 39–44, Apr 1994

    Google Scholar 

  20. V. Kursun, R.M. Secareanu, E.G. Friedman, CMOS voltage interface circuit for low power systems, in Proceedings of the IEEE International Symposium on Circuits and Systems, pp. 3667–3670, May 2002

    Google Scholar 

  21. K. Usami, M. Igarashi, F. Minami, T. Ishikawa, M. Kanzawa, M. Ichida, K. Nogami, Automated low-power technique exploiting multiple supply voltages applied to a media processor. IEEE J. Solid-State Circuits 33(3), 463–472 (1998)

    Article  Google Scholar 

  22. K. Usami, M. Horowitz, Clustered voltage scaling technique for low-power design, in Proceedings of the IEEE International Symposium on Low Power Electronics and Design, pp. 3–8, Apr 1995

    Google Scholar 

  23. K. Usami et al., Automated low-power technique exploiting multiple supply voltages applied to a media processor, in Proceedings of the IEEE Custom Integrated Circuit Conference, pp. 131–134, May 1997

    Google Scholar 

  24. V. Kursun, S.G. Narendra, V.K. De, E.G. Friedman, Low-voltage-swing monolithic DC – DC conversion. IEEE Trans. Circuits Syst. II: Express Briefs 51(5), 241–248 (2004)

    Article  Google Scholar 

  25. V. Kursun, V.K. De, E.G. Friedman, S.G. Narendra, Monolithic voltage conversion in low-voltage CMOS technologies. Microelectron. J. 36(9), 863–867 (2005)

    Article  Google Scholar 

  26. R.K. Krishnamurthy, A. Alvandpour, V.K. De, S. Borkar, High-performance and low-power challenges for sub-70 nm microprocessor circuits, in Proceedings of the IEEE Custom Integrated Circuit Conference, pp. 125–128, May 2002

    Google Scholar 

  27. S.H. Kulkarni, D. Sylvester, High performance level conversion for dual V dd design. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 12(9), 926–936 (2004)

    Google Scholar 

  28. M. Igarashi, K. Usami, K. Nogami, F. Minami, Y. Kawasaki, T. Aoki, M. Takano, S. Sonoda, M. Ichida, N. Hatanaka, A low-power design method using multiple supply voltages, in Proceedings of the IEEE International Symposium on Low Power Electronics and Design, pp. 36–41, Aug 1997

    Google Scholar 

  29. J.-S. Wang, S.-J. Shieh, J.-C. Wang, C.-W. Yeh, Design of standard cells used in low-power ASIC’s exploiting the multiple-supply-voltage scheme, in Proceedings of the IEEE International ASIC Conference, pp. 119–123, Sept 1998

    Google Scholar 

  30. M. Hamada, Y. Ootaguro, T. Kuroda, Utilizing surplus timing for power reduction, in Proceedings of the IEEE Conference on Custom Integrated Circuits, pp. 89–92, May 2001

    Google Scholar 

  31. T. Sakurai, A.R. Newton, Alpha-power law MOSFET model and its application to CMOS inverter delay and other formulas. IEEE J. Solid-State Circuits 25(2), 584–594 (1990)

    Article  Google Scholar 

  32. W. Hung, Total power optimization through simultaneously multiple-V dd multiple-V TH assignment and device sizing with stack forcing, in Proceedings of the IEEE International Symposium on Low Power Electronics and Design, pp. 144–149, Aug 2004

    Google Scholar 

  33. S.K. Mathew, M.A. Anders, B. Bloechel, T. Nguyen, R.K. Krishnamurthy, S. Borkar, A 4-GHz 300-mW 64-bit integer execution ALU with dual supply voltages in 90-nm CMOS. IEEE J. Solid-State Circuits 40(1), 44–51 (2005)

    Article  Google Scholar 

  34. D. Nguyen, A. Davare, M. Orshansky, D. Chinnery, B. Thompson, K. Keutzer, Minimization of dynamic and static power through joint assignment of threshold voltages and sizing optimization, in Proceedings of the IEEE International Symposium on Low Power Electronics and Design, pp. 158–163, Aug 2003

    Google Scholar 

  35. M. Takahashi et al., A 60-mW MPEG4 video codec using clustered voltage scaling with variable supply-voltage scheme. IEEE J. Solid-State Circuits 33(11), 1772–1780, Nov 1998

    Article  Google Scholar 

  36. K. Zhang, U. Bhattacharya, Z. Chen, F. Hamzaoglu, D. Murray, N. Vallepalli, Y. Wang, B. Zheng, M. Bohr, A 3-GHz 70-Mb SRAM in 65-nm CMOS technology with integrated column-based dynamic power supply. IEEE J. Solid-State Circuits 41(1), 146–151 (2006)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer International Publishing Switzerland

About this chapter

Cite this chapter

P.-Vaisband, I., Jakushokas, R., Popovich, M., Mezhiba, A.V., Köse, S., Friedman, E.G. (2016). Multiple On-Chip Power Supply Systems. In: On-Chip Power Delivery and Management. Springer, Cham. https://doi.org/10.1007/978-3-319-29395-0_40

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-29395-0_40

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-29393-6

  • Online ISBN: 978-3-319-29395-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics