Skip to main content

Wet Chemical Processes for BEOL Technology

  • Chapter
  • First Online:
Springer Handbook of Semiconductor Devices

Part of the book series: Springer Handbooks ((SHB))

  • 9367 Accesses

Abstract

This chapter covers wet processes for logic back-end-of-the-line interconnect technology – namely, wet cleans and wet etching (Sect. 6.1), electroplating (Sect. 6.2), and chemical mechanical planarization (Sect. 6.3). Each section details the introduction of the process and equipment used in 300-mm semiconductor industry from the beginning of Cu era. All the critical components of the process and equipment are described followed by the experimental results and discussion for each of the application. In wet cleans and wet etching section, applications like post-etch residue clean, backside clean, and hardmask wet etch are covered. Plating section includes copper electroplating of damascene and dual damascene structures. In chemical mechanical planarization section, metal – copper, tantalum, tantalum nitride, and cobalt – polishing and planarization, and post-cleaning applications are covered.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 309.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD 399.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Itano, M., Kern, F.W., Miyashita, M., Ohmi, T.: Particle removal from silicon wafer surface in wet cleaning process. IEEE Trans. Semicond. Manuf. 6, 258–267 (1993)

    Article  Google Scholar 

  2. Menon, V.B., Michaels, L.D., Donovan, R.P., Debler, V.L., Ranade, M.B.: Particle removal from semiconductor wafers using cleaning solvents. In: Particles in Gases and Liquids, pp. 259–271 (1989)

    Chapter  Google Scholar 

  3. Martin, P.M.: Handbook of Deposition Technologies for Films and Coatings, Chapter 3, pp. 93–134 (2010)

    Google Scholar 

  4. Gemmill, W.R., Kesters, E., Le, Q.T.: One-step wet clean removal of post-etch fluoropolymer residues. Solid State Phenom. 195, 136–138 (2013)

    Article  Google Scholar 

  5. Park, C.G., Sohn, H.S.: Simultaneous removal of particles from front and back sides by a single wafer backside megasonic system. Solid State Phenom. 187, 167–170 (2012)

    Article  Google Scholar 

  6. Carlson, A., Bachiraju, P., Clark, J., Trost, D.: Use of wafer backside inspection and SPR to address systemic tool and process issues. Proc. SPIE. 7638, 763818 (2010)

    Article  Google Scholar 

  7. Chang, S.-Y., Lung, C.-Y., Wei, A.C., Lee, H.-J., Lian, N.-T., Yang, T., Chen, K.-C., Lu, C.-Y.: Methods of removing solvent-like residues from wafer backside bevel. In: Annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference (ASMC), pp. 291–294 (2013)

    Google Scholar 

  8. Chang, S.Y., Chen, Y.C., Wei, A.C., Lee, H.J., Lian, N.T., Yang, T., Chen, K.C., Lu, C.Y.: Advanced floating gate CD uniformity control in the 75nm node NOR flash memory. In: 22nd Annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference (ASMC). ISSN: 1078-8743 (2011)

    Google Scholar 

  9. Garg, N., Rajagopalan, B., Scott, S., Hoech, R.: Yield improvement in 2x node technology by introducing backside cleaning. In: 26th Annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference (ASMC). ISSN: 1078-8743 (2013)

    Google Scholar 

  10. Sharma, A., Gondeck, B., Singh, S., Tang, T.J., Scott, S., Helal, P.: Optimization of wet strip for metal void reduction in trench first metal hard mask back end of line process. Solid State Phenom. 282, 250–255 (2018)

    Article  Google Scholar 

  11. Hussain, M.M., Moumen, N., Barnett, J., Saulters, J., Baker, D., Zhang, Z.: Metal wet etch process development for dual metal gate CMOS. Electrochem. Solid-State Lett. 8(12), G333 (2005)

    Article  Google Scholar 

  12. Tagami, M., Shimada, K., Yin, Y., Ishikawa, M., Waskiewicz, C., Chen, S.-T., Shobha, H., Soda, E., Saulnier, N., Arnold, J.C., Colburn, M., Usui, T., Spooner, T.: 56nm-pitch low-k/Cu dual-damascene interconnects integration with sidewall image transfer (SIT) patterning scheme. In: IEEE International Interconnect Technology Conference (2012)

    Google Scholar 

  13. Lippy, S., Chen, L.-M., Peethala, B., Rath, D.L., Boggs, K., Sankarapandian, M., Kennedy, E.: TiN metal hard mask removal with selectivity to tungsten and TiN liner. ECS Trans. 58, 261 (2013)

    Article  Google Scholar 

  14. Cui, H., Kirk, S.J., Maloney, D.: Metal hardmask etch residue removal for advanced copper / low-k devices. In: IEEE/SEMI Advanced Semiconductor Manufacturing Conference (2007)

    Google Scholar 

  15. Standaert, T., Beique, G., Chen, H.-C., Chen, S.-T., Hamieh, B., Lee, J., McLaughlin, P., McMahon, J., Mignot, Y., Mont, F., Motoyama, K., Nguyen, S., Patlolla, R., Peethala, B., Priyadarshini, D., Rizzolo, M., Saulnier, N., Shobha, H., Siddiqui, S., Spooner, T., Tang, H., van der Straten, O., Verduijn, E., Xu, Y., Zhang, X., Arnold, J., Canaperi, D., Colburn, M., Edelstein, D., Paruchuri, V., Bonilla, G.: BEOL process integration for the 7 nm technology node. In: IEEE International Interconnect Technology Conference / Advanced Metallization Conference (IITC/AMC) (2016)

    Google Scholar 

  16. Thanu, D.P.R., Raghavan, S., Keshwani, M.: Post plasma etch residue removal in dilute HF solutions. J. Electrochem. Soc. 158(8), H814–H820 (2011)

    Article  Google Scholar 

  17. Chavez, K., Hess, D.W.: A novel method of etching copper oxide using acetic acid. J. Electrochem. Soc. 148(11), G640–G643 (2001)

    Article  Google Scholar 

  18. Thanu, D.P.R., Venkataraman, N., Raghavan, S., Mahdavi, O., et al.: Dilute HF solutions for copper cleaning during BEOL process: effect of aeration on selectivity and copper corrosion. ESC Trans. 25(5), 109 (2011)

    Google Scholar 

  19. Kim, S.B., Jeon, H.: Characteristics of the post-etch polymer residues formed at the via hole and polymer removal using a semi-aqueous stripper. J. Korean Phys. Soc. 49, 1991–1997 (2006)

    Google Scholar 

  20. Venkatesh, R.P., Kwon, T.-Y., Prasad, Y.N., Ramanathan, S., Park, J.-G.: Characterization of TMAH based cleaning solution for post Cu-CMP application. Microelectron. Eng. 102, 74–80 (2013)

    Article  Google Scholar 

  21. Broussous, L., Krejcirova, D., Courouble, K., Zoll, S., Iwasaki, A., Ishikawa, H., Buisine, F., Lamaury, A., Fraud, D.: TiN hard mask cleans with SCI solutions for 64nm pitch BEOL patterning. Solid State Phenom. 219, 209 (2015)

    Article  Google Scholar 

  22. Le, Q.T., Claes, M., Conard, T., Kesters, E., Lux, M., Vereecke, G.: Removal of post-etch photoresist and sidewall residues using organic solvent and additive combined with physical forces. Microelectron. Eng. 86, 2 (2009)

    Article  Google Scholar 

  23. Suhard, S., Claes, M., Loh, J., Vereeck, G., Pantouvaki, M., Demuynck, S., Vereecke, B., Beyer, G.: Screening and evaluation of different wet cleaning solutions for post etch residue removal in BEOL applications. ECS Trans. 25, 101 (2009)

    Article  Google Scholar 

  24. Broussous, L., Puyrenier, W., Rebiscoul, D., Rouessac, V., Ayral, A.: Porous low-k wet etch in HF-based solutions: focus on cleaning process window, “pore-sealing” and “k recovery”. Solid State Phenom. 145–146, 295–302 (2009)

    Article  Google Scholar 

  25. Peethala, B., Mont, F.W., Molis, S., Knarr, R., L’lherron, B., Labelle, C., Canaperi, D., Siddiqui, S.: Impact of HF-based cleaning solutions on via resistance for sub-10 nm BEOL structures. Microelectron. Eng. 161, 98–103 (2016)

    Article  Google Scholar 

  26. Rébiscoul, D., Lopez, N., Broussous, L., Louis, D., Passemard, G.: Impact of organic acid and gas bubbling on copper and copper oxide etch rates in diluted HF solutions. Solid State Phenom. 134, 333–336 (2008)

    Article  Google Scholar 

  27. Fox, R., et al.: High performance k=2.5 ULK backend solution using an improved TFHM architecture, extendible to the 45nm technology node. IEDM, 81–84 (2005)

    Google Scholar 

  28. Loquet, Y., Straten, O.V., Mignot, Y., Peethala, B., Waskiewicz, C., Penny, C., Yang, C.C., Rath, D., Tanwar, K., Chen, J.H.-C., Shobha, H., Nagabhirava, B., Hu, C.-k., Linger, E., Cohen, S., Celik, M., Spooner, T.: Profile and integration optimization for reliable BEOL. In: Advanced Metallization Conference (2013)

    Google Scholar 

  29. Loquet, Y., Mignot, Y., Waskiewicz, C., Chen, J.H., Sankar, M., Chen, S.T., Flaitz, P., Tomizawa, H., Tseng, C., Beard, M., Morris, B., Kleemier, W., Linger, E., Spooner, T.: 56nm pitch Cu dual damascene interconnects with self-aligned via using negative tone development LELE patterning scheme. Microelectron. Eng. 107, 138–144 (2013)

    Article  Google Scholar 

  30. Darnona, M., Chevolleau, T., Eon, D., Vallier, L.: Etching characteristics of TiN used as hard mask in dielectric etch process. J. Vac. Sci. Technol., B: Microelectron. Nanometer Struct.–Process., Meas., Phenom. 24, 2262 (2006)

    Article  Google Scholar 

  31. Iwasaki, K., Courouble, K., Lippy, S., Buisine, F., Ishikawa, H., Cooper, E., Kennedy, E., Zoll, S., Broussous, L.: Industrial challenges of TiN hard mask wet removal process for 14nm technology node. Solid State Phenom. 219, 213–216 (2015)

    Article  Google Scholar 

  32. Huang, Y., Liu, J., Yang, Z., Zhao, J., Liu, H.: Optimization of wet strip after metal hard mask all-in-one etch for metal void reduction and yield improvement. In: 2017 China Semiconductor Technology International Conference (CSTIC) (2017)

    Google Scholar 

  33. Milosev, I., Strehblow, H.H., Navinsek, B., et al.: Comparison of TiN, ZrN and CrN hard nitride coatings: electrochemical and thermal oxidation. Thin Solid Films. 303, 246–254 (1997)

    Article  Google Scholar 

  34. Auth, C., Aliyarukunju, A., Asoro, M., Bergstrom, D., Bhagwat, V., Birdsall, J., Bisnik, N., Buehler, M., Chikarmane, V., Ding, G., Fu, Q., Gomez, H., Han, W., Hanken, D., Haran, M., hattendorf, M., Heussner, R., Hiramatsu, H., ho, B., Jaloviar, S., Jin, I., Joshi, S., Kirby, S., Kosaraju, S., Kothari, H., Leatherman, G., Lee, K., Leib, J., Madhavan, A., Marla, K., Meyer, H., Mule, T., Parker, C., Parthasarathy, S., Pelto, C., Pipes, L., Post, I., Prince, M., Rahman, A., Rajamani, S., Saha, A., Santos, J.D., Sharma, M., Sharma, V., Shin, J., Sinha, P., Smith, P., Sprinkle, M., St. Amour, A., Staus, C., Suri, R., Towner, D., Tripathi, A., Tura, A., Ward, C., Yeoh, A.: Interconnect stack using self-aligned quad and double patterning for 10nm high volume manufacturing, 2017, December. In: Electron Devices Meeting (IEDM), IEEE International, p. 29-1. IEEE (2017)

    Google Scholar 

  35. Motoyama, K., van der Straten, O., Maniscalco, J., Huang, H., Kim, Y.B., Choi, J.K., Lee, J.H., Hu, C.K., McLaughlin, P., Standaert, T., Quon, R.: Ru liner scaling with ALD TaN barrier process for low resistance 7 nm Cu interconnects and beyond. In: 2018 IEEE International Interconnect Technology Conference (IITC), pp. 40–42. IEEE (2018)

    Chapter  Google Scholar 

  36. Andricacos, P.C., Uzoh, C., Dukovic, J.O., Horkans, J., Deligianni, H.: Damascene copper electroplating for chip interconnections. IBM. J. Res. Dev. 42(5), 567–574 (1998)

    Article  Google Scholar 

  37. Andricacos, P.C.: Copper on-chip interconnections. Electrochem. Soc. Interface. 8(1), 32–37 (1999)

    Article  Google Scholar 

  38. Reid, J., Mayer, S., Broadbent, E., Klawuhn, E., Ashtiani, K.: Factors influencing damascene feature fill using copper PVD and electroplating. Solid State Technol. 43(7), 86–103 (2000)

    Google Scholar 

  39. Reid, J.: Copper electrodeposition: principles and recent progress. Jpn. J. Appl. Phys. 40, 2650–2657 (2001)

    Article  Google Scholar 

  40. Beaudry, C.L., Dukovic, J.O.: Faraday in the fab. Electrochem. Soc. Interface, 13, 40–44 (2004)

    Google Scholar 

  41. Vereecken, P.M., Binstead, R.A., Deligianni, H., Andricacos, P.C.: The chemistry of additives in damascene copper plating. IBM. J. Res. Dev. 49(1), 3–18 (2005)

    Article  Google Scholar 

  42. Reid, J., McKerrow, A., Varadarajan, S., Kozlowski, G.: Copper electroplating approaches for 16nm technology. Solid State Technol. 53(5), 14–17 (2010)

    Google Scholar 

  43. Lowenheim, F.A.: Electroplating. American Electroplaters’ Society, U. S. A. (1978)

    Google Scholar 

  44. Dini, J.W.: Electrodeposition: The Materials Science of Coatings and Substrates. Noyes Publications, U. S. A. (1993)

    Google Scholar 

  45. Safranek, W.H.: The Properties of Electrodeposited Metals and Alloys, 2nd edn. American Electroplaters and Surface Finishers Society, Florida (1986)

    Google Scholar 

  46. Landolt, D.: Electrodeposition science and technology in the last quarter of the twentieth century. J. Electrochem. Soc. 149(3), S9–S22 (2002)

    Article  Google Scholar 

  47. Romankiw, L.T.: A path: from electroplating through lithographic masks in electronics to LIGA in MEMS. Electrochim. Acta. 42(20–22), 2985–3005 (1997)

    Article  Google Scholar 

  48. Datta, M., Osaka, T., Schultze, J.W.: Microelectronic Packaging. CRC Press, Boca Raton (2004)

    Book  Google Scholar 

  49. Schlesinger, M., Paunovic, M. (eds.): Modern Electroplating, vol. 55. Wiley, Hoboken (2011)

    Google Scholar 

  50. Kessler, T., Alkire, R.: A model for copper electroplating of multilayer printed wiring boards. J. Electrochem. Soc. 123(7), 990–999 (1976)

    Article  Google Scholar 

  51. Yung, E.K., Romankiw, L.T., Alkire, R.C.: Plating of copper into through-holes and vias. J. Electrochem. Soc. 136(1), 206–215 (1989)

    Article  Google Scholar 

  52. Dukovic, J.O.: Computation of current distribution in electrodeposition, a review. IBM J. Res. Dev. 34(5), 693–705 (1990)

    Article  Google Scholar 

  53. Edelstein, D.C.: 20 years of Cu BEOL in manufacturing, and its future prospects. In: 2017 IEEE International Electron Devices Meeting (IEDM), p. 14-1. IEEE (2017)

    Google Scholar 

  54. Kardos, O., Foulke, D.G.: Applications of mass transfer theory: electrodeposition on small-scale profiles. In: Advances in Electrochemistry and Electrochemical Engineering, vol. 2, pp. 145–233 (1962)

    Google Scholar 

  55. Dukovic, J.O., Tobias, C.W.: Simulation of leveling in electrodeposition. J. Electrochem. Soc. 137(12), 3748–3755 (1990)

    Article  Google Scholar 

  56. Madore, C., Matlosz, M., Landolt, D.: Blocking inhibitors in cathodic leveling. I. Theoretical analysis. J. Electrochem. Soc. 143(12), 3927–3936 (1996)

    Article  Google Scholar 

  57. Madore, C., Landolt, D.: Blocking inhibitors in cathodic leveling. II. Experimental investigation. J. Electrochem. Soc. 143(12), 3936–3943 (1996)

    Article  Google Scholar 

  58. Yokoi, M., Konishi, S., Hayashi, T.: Adsorption behavior of polyoxyethyleneglycole on the copper surface in an acid copper sulfate bath. Denki Kagaku oyobi Kogyo Butsuri Kagaku. 52(4), 218–223 (1984)

    Article  Google Scholar 

  59. Healy, J.P., Pletcher, D., Goodenough, M.: The chemistry of the additives in an acid copper electroplating bath: part I. Polyethylene glycol and chloride ion. J. Electroanal. Chem. 338, 155 (1992)

    Article  Google Scholar 

  60. Kelly, J.J., West, A.C.: Copper deposition in the presence of polyethylene glycol I. Quartz crystal microbalance study. J. Electrochem. Soc. 145(10), 3472–3476 (1998)

    Article  Google Scholar 

  61. US patent US3267010A

    Google Scholar 

  62. US patent US3770598A

    Google Scholar 

  63. US patent US4336114A

    Google Scholar 

  64. West, A.C., Mayer, S., Reid, J.: A superfilling model that predicts bump formation. Electrochem. Solid-State Lett. 4(7), C50–C53 (2001)

    Article  Google Scholar 

  65. Moffat, T.P., Wheeler, D., Huber, W.H., Josell, D.: Superconformal electrodeposition of copper. Electrochem. Solid-State Lett. 4, C26 (2001)

    Article  Google Scholar 

  66. Taephaisitphongse, P., Cao, Y., West, A.: Electrochemical and fill studies of a multicomponent additive package for copper deposition. J. Electrochem. Soc. 148(7), C492–C497 (2001)

    Article  Google Scholar 

  67. Willey, M.J., Reid, J., West, A.C.: Adsorption kinetics of polyvinylpyrrolidone during copper electrodeposition. Electrochem. Solid-State Lett. 10(4), D38–D41 (2007)

    Article  Google Scholar 

  68. Fischer, H.: Aspects of inhibition in electrodeposition of compact metals II. Effects of morphological interface inhibition. Electrodeposition Surf. Treat. 1(4), 319–337 (1973)

    Article  Google Scholar 

  69. Winand, R.: Electrodeposition of metals and alloys- new results and perspectives. Electrochim. Acta. 39(8–9), 1091–1105 (1994)

    Article  Google Scholar 

  70. West, A.C.: Theory of filling of high-aspect ratio trenches and vias in presence of additives. J. Electrochem. Soc. 147(1), 227–232 (2000)

    Article  Google Scholar 

  71. Akolkar, R., Landau, U.: A time-dependent transport-kinetics model for additive interactions in copper interconnect metallization. J. Electrochem. Soc. 151(11), C702 (2004)

    Article  Google Scholar 

  72. Boehme, L., Landau, U.: Additives co-injection: a test for determining the efficacy and process parameters of bottom-up plating electrochemical/electroless deposition. J. Electrochem. Soc. 163(7), D314 (2016)

    Article  Google Scholar 

  73. Zhu, K., Wang, C., Wang, J., Hong, Y., Chen, Y., He, W., Zhou, J., Miao, H., Chen, Q.: Convection-depending competitive adsorption between SPS and EO/PO on copper surface for accelerating trench filling. J. Electrochem. Soc. 166(4), D93–D98 (2019)

    Article  Google Scholar 

  74. Witt, C., Lin, X., Paneccasio, V., Srinivasan, J., Cicoria, M., King, M.: Additive design for copper interconnect electrodeposition. In: Meeting Abstracts, no. 17, pp. 673–673. The Electrochemical Society (2006)

    Google Scholar 

  75. Huang, Q., Baker-O’Neal, B., Kelly, J., Broekmann, P., Wirth, A., Martin, M., Hahn, M., Wagner, A., Mayer, D.: Accelerator and suppressor behavior during copper superfilling of Sub-100 nm features. In: Meeting Abstracts, No. 38, pp. 2502–2502. The Electrochemical Society (2008)

    Google Scholar 

  76. Ryan, K., Dunn, K., van Eisden, J., Adolf, J.: Properties of PEG, PPG and their copolymers: influence on copper filling of damascene interconnects. J. Electrochem. Soc. 160(12), D3186–D3196 (2013)

    Article  Google Scholar 

  77. Olson, W., Schmidt, M., Wetterer, A., Willey, M.: Advancements in copper interconnect technology: the effect of sulfuric acid on the adsorption & desorption of an advanced MLI suppressor. In: Meeting Abstracts, no. 24, pp. 950–950. The Electrochemical Society (2015)

    Google Scholar 

  78. Baker, B.C., Freeman, M., Melnick, B., Wheeler, D., Josell, D., Moffat, T.P.: Superconformal electrodeposition of silver from a KAG(CN)2-KCN-KSeCN electrolyte. J. Electrochem. Soc. 150, C61–C66 (2003)

    Article  Google Scholar 

  79. Josell, D., Beauchamp, C.R., Kelley, D.R., Witt, C.A., Moffat, T.P.: Gold superfill in sub-micrometer trenches. Electrochem. Solid-State Lett. 8(30), C54–C57 (2005)

    Article  Google Scholar 

  80. Kim, S.-K., Bonevich, J.E., Josell, D., Moffat, T.P.: Electrodeposition of Ni in submicrometer trenches. J. Electrochem. Soc. 154(9), D443–D451 (2007)

    Article  Google Scholar 

  81. Rigsby, M.A., Brogan, L.J., Doubina, N.V., Liu, Y., Opocensky, E.C., Spurlin, T.A., Zhou, J., Reid, J.D.: Superconformal cobalt fill through the use of sacrificial oxidants. ECS Trans. 80(10), 767–776 (2017)

    Article  Google Scholar 

  82. Rigsby, M.A., Brogan, L.J., Doubina, N.V., Liu, Y., Opocensky, E.C., Spurlin, T.A., Zhou, J., Reid, J.D.: The critical role of pH gradient formation in driving superconformal cobalt deposition. J. Electrochem. Soc. 166(1), D3167–D3174 (2019)

    Article  Google Scholar 

  83. Ritzdorf, T.L., Wilson, G.J., McHugh, P.R., Woodruff, D.J., Hanson, K.M., Fulton, D.: Design and modeling of equipment used in electrochemical processes for microelectronics. IBM. J. Res. Dev. 49(1), 65–77 (2005)

    Article  Google Scholar 

  84. Mikkola, R., Jiang, Q.-T., Carpio, R., Carpenter, B.: Bath additive and current density effects on copper electroplating fill of Cu damascene structures. In: MRS Online Proceedings Library Archive 562 (1999)

    Google Scholar 

  85. Ahmed, S., Shah, K., Cheng, T.-J., da Silva, A., Sharma, M., Lin, T.-Y., Koli, D., Mahalingam, A.S.K.M., Grunow, S., Child, C.: Defect improvement by optimizing electroplating in BEOL Sub-50nm pitch. In: 2017 28th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC), pp. 18–23. IEEE (2017)

    Chapter  Google Scholar 

  86. Pavlov, M., Lin, D., Shalyt, E., Tsimberg, I.: Electrochemical behavior and analysis of organic additives in sub 14nm copper Damascene plating baths. In: Meeting Abstracts, no. 16, pp. 929–929. The Electrochemical Society (2017)

    Google Scholar 

  87. Carpio, R., Jaworski, A.: Review- management of copper Damascene plating. J. Electrochem. Soc. 166(1), D3072–D3096 (2019)

    Article  Google Scholar 

  88. Orbon, J., Levin, L., Bokobza, O., Shimshi, R., Dutta, M., Zhang, B., Ciplickas, D., Pham, T., Jensen, J.: Integrated electrical and SEM-based defect characterization for rapid yield ramp. In: Proc. SPIE 5378, Data Analysis and Modeling for Process Control, 29 April 2004

    Google Scholar 

  89. Yeric, G., Cohen, E., Garcia, J., Davis, K., Salem, E., Green, G.: Infrastructure for successful BEOL yield ramp, transfer to manufacturing, and DFM characterization at 65 nm and below. IEEE Des. Test Comput. 22(3), 232–239 (2005)

    Article  Google Scholar 

  90. Ouyang, X., Riggs, D., Ahsan, I., Patterson, O.D., Lea, D.M., Ebersman, B., Hawkins, K.V., Miller, K., Fox, S., Rice, J.: Yield learning methodology in early technology development. In: 2007 IEEE/SEMI Advanced Semiconductor Manufacturing Conference 2007 Jun 11, pp. 333–340. IEEE

    Google Scholar 

  91. Daino, M., Jensen, G., Jain, A., Kini, S., Bawari, A., Rajagopalan, B., Aizawa, H., Choo, J., Srivastava, A., Tolle, I., Huang, R.: Line end voids defectivity improvement on 64 pitch Cu wire interconnects of 14 nm technology. In: 2016 27th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC), 16 May 2016, pp. 60–64. IEEE

    Google Scholar 

  92. Edelstein, D., Rathore, H., Davis, C., Clevenger, L., Cowley, A., Nogami, T., Agarwala, B., et al.: Comprehensive reliability evaluation of a 90 nm CMOS technology with Cu/PECVD low-k BEOL. In: 2004 IEEE International Reliability Physics Symposium. Proceedings, pp. 316–319. IEEE (2004)

    Chapter  Google Scholar 

  93. Zhou, J., Reid, J.: Impact of leveler molecular weight and concentration on Damascene copper electroplating. ECS Trans. 2(6), 77–92 (2007)

    Article  Google Scholar 

  94. Kelly, J., Surisetty, C., Canaperi, D.: Experimental study of copper leveling additives and their wafer and pattern-scale effect on copper planarization. C. R. Chimie. 16, 15–20 (2013)

    Article  Google Scholar 

  95. Banerjee, G., So, J., Mikkola, B.: Simultaneous optimization of electroplating and CMP for copper processes. (CMP). Solid State Technol. 44(11), 83–87 (2001)

    Google Scholar 

  96. Zhou, J., Opocensky, E.C., Reid, J.: Low Cu electrolyte for advanced damascene plating. In: 2015 China Semiconductor Technology International Conference, pp. 1–4. IEEE (2015)

    Google Scholar 

  97. Sun, X., Peethala, B., Hopstaken, M., Hu, C.K., McLaughlin, P., van der Straten, O., Demarest, J., Motoyama, K., Nogami, T., Lin, X., Zhang, X., Kelly, J.: Experimental study of PVD Cu/CVD co bilayer dissolution for BEOL Cu. ECS Trans. 80(4), 297–309 (2017)

    Article  Google Scholar 

  98. Jing, X., Tan, J., Liu, J.: 32/28 nm BEOL Cu gap-fill challenges for metal film. In: 2015 China Semiconductor Technology International Conference, pp. 1–3. IEEE (2015)

    Google Scholar 

  99. Jing, X., Tan, J., Zhu, Z.: Electroplating (ECP) entry related defect improvement study. In: 2015 China Semiconductor Technology International Conference, pp. 1–3. IEEE

    Google Scholar 

  100. Yang, C.C., Cohen, S., Shaw, T., Wang, P.-C., Nogami, T., Edelstein, D.: Characterization of “ultrathin-Cu”/Ru(Ta)/TaN liner stack for copper interconnects. IEEE Electron Device Lett. 31(7), 722–724 (2010)

    Article  Google Scholar 

  101. Eisenbraun, E.: Ultimate limits of conventional barriers and liners-implications for the extendibility of copper metallization. Microelectron. Eng. 92, 67–70 (2012)

    Article  Google Scholar 

  102. Keil, P., Luetzenkirchen-Hecht, D., Frahm, R.: Investigation of room temperature oxidation of Cu in air by Yoneda-XAFS. In: AIP Conference Proceedings, vol. 882, p. 490 (2007)

    Chapter  Google Scholar 

  103. Contestable-Gilkes, D., Ramappa, D., Oh, M., Merchant, S.M.: Effect of copper seed aging on electroplating-induced defects in copper interconnects. J. Electron. Mater. 31(10), 1047 (2002)

    Article  Google Scholar 

  104. Sukamto, J.H., Webb, E., Andryushchenko, T., Reid, J.: An evaluation of electrolytic repair of discontinuous PVD copper seed layers in damascene vias. J. Appl. Electrochem. 34(3), 283–290 (2004)

    Article  Google Scholar 

  105. Haumesser, P.H., Cordeau, M., Maitrejean, S., Mourier, T., Gosset, L.G., Besling, W.F.A., Passemard, G., Torres, J.: Copper metallization for advanced interconnects: the electrochemical revolution. In: Proceedings of the IEEE 2004 International Interconnect Technology Conference (IEEE Cat. No. 04TH8729), pp. 3–5. IEEE (2004)

    Chapter  Google Scholar 

  106. Roule, A., Amuntencei, M., Deronzier, E., Haumesser, P.H., Da Silva, S., Avale, X., Pollet, O., Baskaran, R., Passemard, G.: Seed layer enhancement by electrochemical deposition: the copper seed solution for beyond 45 nm. Microelectron. Eng. 84, 2610–2614 (2007)

    Article  Google Scholar 

  107. Josell, D., Wheeler, D., Witt, C., Moffat, T.: Seedless superfill: copper electrodeposition in trenches with ruthenium barriers. Electrochem. Solid-State Lett. 6(10), C143–C145 (2003)

    Article  Google Scholar 

  108. Moffat, T.P., Walker, M., Chen, P.J., Bonevich, J.E., Egelhoff, W.F., Richter, L., Witt, C., Aaltonen, T., Ritala, M., Leskelä, M., Josell, D.: Electrodeposition of Cu on Ru barrier layers for Damascene processing. J. Electrochem. Soc. 153(1), C37–C50 (2006)

    Article  Google Scholar 

  109. Akolkar, R., Indukuri, T., Clarke, J., Ponnuswamy, T., Reid, J., McKerrow, A.J., Varadarajan, S.: Direct seed electroplating of copper on ruthenium liners. In: 2011 IEEE International Interconnect Technology Conference, pp. 1–3. IEEE (2011)

    Google Scholar 

  110. Arminiz, S., El-Mekki, Z., Swerts, J., Nagar, M., Demuynck, S.: Direct copper electrochemical deposition on Ru-based substrates for advanced interconnects target 30 nm and ½ pitch lines: from coupon to full-wafer experiments. J. Electrochem. Soc. 160(3), D89–D94 (2013)

    Article  Google Scholar 

  111. Yang, C.-C., Li, B., Seo, S.-C., Molis, S., Edelstein, D.: Evaluation of direct Cu electroplating on Ru: feature fill, parametric, and reliability. IEEE Electron Device Lett. 32(2), 200–202 (2011)

    Article  Google Scholar 

  112. Wang, X., He, P., Yang, G., Qu, X.-P.: Effect of CoxMoy as single barrier layer on properties of directly electroplated copper films. J. Electrochem. Soc. 163(14), D794–D800 (2016)

    Article  Google Scholar 

  113. Brogan, L.J., Liu, Y., Huie, M.M., Reid, J.D., Kelly, J., Shobha, H., Huang, H., Motoyama, K., Hu, C.-K.: Improved copper Damascene wires using direct plate on cobalt process. In: Meeting Abstracts, no. 18, pp. 1055–1055. The Electrochemical Society (2019)

    Google Scholar 

  114. Xu, W.-Z., Xu, J.-B., Lu, H.-S., Wang, J.-X., Hu, Z.-J., Qu, X.-P.: Direct copper plating on ultra-thin sputtered cobalt film in an alkaline bath. J. Electrochem. Soc. 160(12), D3075–D3080 (2013)

    Article  Google Scholar 

  115. Armini, S.: Cu electrodeposition on resistive substrates in alkaline chemistry: effect of current density and wafer RPM. J. Electrochem. Soc. 158(6), D390–D394 (2011)

    Article  Google Scholar 

  116. Mévellec, V., Thiam, M., Suhr, D., Religieux, L., Blondeau, P., Chaumont, J.B., Raynal, F.: A novel bottom up fill mechanism for the metallization of advanced node copper interconnects. ECS Trans. 75(7), 9–14 (2016)

    Article  Google Scholar 

  117. Caillard, L., Vigneron, J., Thiam, M., Lakhdari, A., Raynal, F., Etcheberry, A.: Investigation of Cu/TaN and Co/TaN barrier-seed oxidation by acidic and alkaline copper electroplating chemistry for Damascene applications. J. Electrochem. Soc. 165(10), D439–D443 (2018)

    Article  Google Scholar 

  118. Turk, M.C., Rock, S.E., Amanapu, H.P., Teugels, L.G., Roy, D.: Investigation of percarbonate based slurry chemistry for controlling galvanic corrosion during CMP of ruthenium. ECS J. Solid State Sci. Technol. 2(5), P205–P213 (2013)

    Article  Google Scholar 

  119. van der Veen, M.H., Vandersmissen, K., Dictus, D., Demuynck, S., Liu, R., Bin, X., Nalla, P., Lesniewska, A., Hall, L., Croes, K., Zhao, L.: Cobalt bottom-up contact and via prefill enabling advanced logic and DRAM technologies. In: 2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM), pp. 25–28. IEEE (2015)

    Chapter  Google Scholar 

  120. Jiang, Y., Nalla, P., Matsushita, Y., Harm, G., Wang, J., Kolics, A., Zhao, L., Mountsier, T., Besser, P., Wu, H.J.: Development of electroless Co via-prefill to enable advanced BEOL metallization and via resistance reduction. In: 2016 IEEE International Interconnect Technology Conference/Advanced Metallization Conference (IITC/AMC), pp. 111–113. IEEE (2016)

    Chapter  Google Scholar 

  121. Gu, J., Zhao, D., Kamon, M., Fried, D.M., Harm, G., Mountsier, T.: Electroless cobalt via pre-fill process for advanced BEOL metallization and via resistance variation reduction. In: 2018 IEEE International Interconnect Technology Conference (IITC), pp. 7–9. IEEE (2018)

    Chapter  Google Scholar 

  122. Zheng, J.F., Chen, P., Baum, T.H., Lieten, R.R., Hunks, W., Lippy, S., Frye, A., Li, W., O’Neill, J., Xu, J., Zhu, J., Bao, J., Machkaoutsan, V., Badaroglu, M., Yeap, G., Murdoch, G., Boemmels, J., Tokei, Z.: Selective Co growth on Cu for void-free via fill. In: 2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM), pp. 265–268. IEEE (2015)

    Chapter  Google Scholar 

  123. Yang, C.C., McFeely, F.R., Li, B., Rosenberg, R., Edelstein, D.: Low-temperature reflow anneals of Cu on Ru. IEEE Electron Device Lett. 32(6), 806–808 (2011)

    Article  Google Scholar 

  124. Wu, Z., Chen, F., Shen, G., Hu, Y., Pethe, S., Lee, J.J., Tseng, J., Suen, W., Vinnakota, R., Kashefizadeh, K., Naik, M.: Pathfinding of Ru-liner/Cu-reflow interconnect reliability solution, 2018, June. Pathfinding of Ru-liner/Cu-reflow interconnect reliability solution. In: 2018 IEEE International Interconnect Technology Conference (IITC), pp. 51–53. IEEE (2018)

    Chapter  Google Scholar 

  125. Naik, M.: Interconnect trend for single digit nodes. In: 2018 IEEE International Electron Devices Meeting (IEDM), pp. 5–6. IEEE (2018)

    Google Scholar 

  126. Bhosale, P.S., Maniscalco, J., Lanzillo, N., Nogami, T., Canaperi, D., Motoyama, K., Huang, H., McLaughlin, P., Shaviv, R., Stolfi, M., Vinnakota, R.: Modified ALD TaN barrier with Ru liner and dynamic Cu reflow for 36nm pitch interconnect integration. In: 2018 IEEE International Interconnect Technology Conference (IITC), pp. 43–45. IEEE (2018)

    Chapter  Google Scholar 

  127. Nogami, T., Huang, H., Shobha, H., Patlolla, R., Kelly, J., Penny, C., Hu, C.-K., Sil, D., DeVries, S., Lee, J., Nguyen, S., Jiang, L., Demarest, J., Li, J., Lian, G., Ali, M., Bhosale, P., Lanzillo, N., Motoyama, K., Lian, S., Standaert, T., Bonilla, G., Edelstein, D., Haran, B.: Technology challenges and enablers to extend Cu metallization to beyond 7 nm node. In: 2019 Symposium on VLSI Technology, pp. T18–T19. IEEE (2019)

    Chapter  Google Scholar 

  128. Gall, D.: Electron mean free path in elemental metals. J. Appl. Phys. 119, 085101-01–085101-05 (2016)

    Article  Google Scholar 

  129. Griggio, F., Palmer, J., Pan, F., Toledo, N., Schmitz, A., Tsameret, I., Kasim, R., Leatherman, G., Hicks, J., Madhavan, A., Shin, J., Steigerwald, J., Yeoh, A., Auth, C.: Reliability of dual-damascene local interconnects featuring cobalt on 10 nm logic technology 2018, March. In: Reliability Physics Symposium (IRPS), 2018 IEEE International, p. 6E-3. IEEE

    Google Scholar 

  130. Oliver, M.R.: Chemical Mechanical Planarization of Semiconductor Materials, vol. 69. Springer (2004)

    Book  Google Scholar 

  131. Beyer, K.D.: A dirty risk. Innovative Leader. 8(6), 407 (1999)

    Google Scholar 

  132. Beyer, K.D., Guthrie, W.L., Makarewicz, S.R., Mendel, E., Patrick, W.J., Perry, K.A., Pliskin, W.A., Tiseman, J., Schaible, P.M., Standley, C.L.: Chem-mech polishing method for producing coplanar metal/insulator films on a substrate. US Patent 4,944,835, 1990

    Google Scholar 

  133. Blumenstock, K., Theisen, J., Pan, P., Dulak, J., Ticknor, A., Sandwick, T.: Shallow trench isolation for ultra-large-scale integrated devices. J. Vac. Sci. Technol. B. 12(1), 54 (1994)

    Article  Google Scholar 

  134. Nogami, T.: In Overview of interconnect technology for 7nm node and beyond - New materials and technologies to extend Cu and to enable alternative conductors (invited). In: 2019 Electron Devices Technology and Manufacturing Conference (EDTM), pp. 38–40 (2019)

    Chapter  Google Scholar 

  135. Zantye, P.B., Kumar, A., Sikder, A.K.: Chemical mechanical planarization for microelectronics applications. Mater. Sci. Eng. R. 45, 89–220 (2004)

    Article  Google Scholar 

  136. Iravani, H.G., Xu, K., Swedek, B.A., Carlsson, I., Shen, S.-H., Tu, W.-C: High sensitivity eddy current monitoring system. U.S. Patent 9,023,667 B2, 5 May 2015

    Google Scholar 

  137. Ronay, M.: Slurry compositions. Eur. Pat. 0846740A1, 10 June 1998

    Google Scholar 

  138. Boning, D., Fan, W.: Characterization and modeling of pad asperity response in CMP. In: MRS Proceedings, vol. 1249 (2011)

    Google Scholar 

  139. Strasbaugh, A.: Wafer-handling apparatus having a resilient membrane which holds wafer when a vacuum is applied. US5423716A, 1994

    Google Scholar 

  140. Keswani, M., Han, Z.: Chapter 4 - Post-CMP cleaning. In: Kohli, R., Mittal, K.L. (eds.) Developments in Surface Contamination and Cleaning, pp. 145–183. William Andrew Publishing, Oxford (2015)

    Chapter  Google Scholar 

  141. Starosvetsky, D., Ein-Eli, Y.: Copper post-CMP cleaning in advanced nanoscale ULSI interconnects. In: Shacham-Diamand, Y., Osaka, T., Datta, M., Ohba, T. (eds.) Fundamentals and Applications, pp. 379–386. Springer New York, New York (2009)

    Google Scholar 

  142. Tardif, F.: Chapter 7 Post-CMP clean. In: Willardson, R.K., Weber, E.R. (eds.) Semiconductors and Semimetals, vol. 63, pp. 183–214. Elsevier, Amsterdam (1999)

    Google Scholar 

  143. Sun, T., Han, Z., Keswani, M.: Chapter 4 - Brush scrubbing for post-CMP cleaning. In: Kohli, R., Mittal, K.L. (eds.) Developments in Surface Contamination and Cleaning: Methods for Surface Cleaning, pp. 109–133. William Andrew Publishing, Norwich (2017)

    Chapter  Google Scholar 

  144. Marra, J., Huethorst, J.A.M.: Physical principles of Marangoni drying. Langmuir. 7(11), 2748–2755 (1991)

    Article  Google Scholar 

  145. Leenaars, A.F.M., Huethorst, J.A.M., Van Oekel, J.J.: Marangoni drying: a new extremely clean drying process. Langmuir. 6(11), 1701–1703 (1990)

    Article  Google Scholar 

  146. Krishnan, M., Nalaskowski, J., Cook, L.M.: Chemical mechanical planarization: slurry chemistry, materials, and mechanisms. Chem. Rev. 110(1), 178–204 (2010)

    Article  Google Scholar 

  147. Preston, F.W.: The Theory and Design of Plate Glass Polishing Machines. J. Soc. Glas. Technol. 11, 214 (1927)

    Google Scholar 

  148. Srinivasan, R., Dandu, P.V., Babu, S.V.: Shallow trench isolation chemical mechanical planarization: a review. ECS J. Solid State Sci. Technol. 4(11), P5029–P5039 (2015)

    Article  Google Scholar 

  149. Kahng, A.B., Sharma, P.: A Zelikovsky: in fill for shallow trench isolation CMP. In: 2006 IEEE/ACM International Conference on Computer Aided Design, pp. 661–668, 5–9 Nov 2006

    Google Scholar 

  150. Zhang, H., Xiong, S.: A review on experimental studies of corrosion inhibitor adsorption on copper surface. In: IOP Conference Series: Materials Science and Engineering, vol. 439, p. 042001 (2018)

    Google Scholar 

  151. Cano, E., Polo, J.L., La Iglesia, A., Bastidas, J.M.: A study on the adsorption of Benzotriazole on copper in hydrochloric acid using the inflection point of the isotherm. Adsorption. 10, 219–225 (2004)

    Article  Google Scholar 

  152. Yao, S., Tseng, W.-T., Kapur, A., Cheng, T.-C., Angyal, M., McGahay, V., Canaperi, D., Surisetty, C., Penny, C., Grunow, S.: Formation mechanism and suppression methods of copper dendrites in BEOL integration. In: Proc. Advanced Metallization Conference, pp. 40–42 (2011)

    Google Scholar 

  153. Canaperi, D.F., Papa Rao, S., Hurd, T.Q., Medd, S.B., Levin, T.M., Penny, C.J., Chen, J.H.C., Smalley, M.D.: Reducing time dependent line to line leakage following post CMP clean. In: MRS Proceedings, vol. 1249, p. 1249-E01-09 (2010)

    Google Scholar 

  154. Tseng, W., Canaperi, D., Ticknor, A., Devarapalli, V., Tai, L., Economikos, L., MacDougal, J., Bunke, C., Angyal, M., Muncy, J., Chen, X., Zhang, J., Fang, Q., Zheng, J.: In post Cu CMP cleaning process evaluation for 32nm and 22nm technology nodes. In: 2012 SEMI Advanced Semiconductor Manufacturing Conference, 15–17 May 2012, pp. 57–62 (2012)

    Chapter  Google Scholar 

  155. Krishnan, M., Lofaro, M.F.: Copper chemical mechanical planarization (Cu CMP) challenges in 22nm back-end-of-line (BEOL) and beyond. In: Babu, S. (ed.) Advances in Chemical Mechanical Planarization (CMP), pp. 27–46. Woodhead Publishing (2016)

    Chapter  Google Scholar 

  156. Patlolla, R.R., Motoyama, K., Peethala, B., Standaert, T., Canaperi, D., Saulnier, N.: CMP development for Ru liner structures beyond 14nm. ECS J. Solid State Sci. Technol. 7(8), P397–P401 (2018)

    Article  Google Scholar 

  157. van der Veen, M.H., Jourdan, N., Gonzalez, V.V., Wilson, C.J., Heylen, N., Pedreira, O.V., Struyf, H., Croes, K., Bömmels, J., Tőkei, Z.: In barrier/liner stacks for scaling the Cu interconnect metallization. In: 2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference (2016)

    Google Scholar 

  158. Nogami, T., He, M., Zhang, X., Tanwar, K., Patlolla, R., Kelly, J., Rath, D., Krishnan, M., Lin, X., Straten, O., Shobha, H., Li, J., Madan, A., Flaitz, P., Parks, C., Hu, C.-K., Penny, C., Simon, A., Bolom, T., Maniscalco, J., Canaperi, D., Spooner, T., Edelstein, D.: CVD-Co/Cu(Mn) integration and reliability for 10 nm node. In: 2013 IEEE International Interconnect Technology Conference, p. 11-1 (2013)

    Google Scholar 

  159. Heylen, N., Yunlong, L., Kellens, K., Carbonell, L., Volders, H., Santoro, G., Gravey, V., Cockburn, A., Wang, Y., Shah, K., Leunissen, L., Beyer, G., Tokei, Z.: CMP process optimization for improved compatibility with advanced metal liners. In: 2010 IEEE International Interconnect Technology Conference (2010)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Cornelius Brown Peethala .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2023 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Peethala, C.B., Kelly, J.J., Canaperi, D.F., Krishnan, M., Nogami, T. (2023). Wet Chemical Processes for BEOL Technology. In: Rudan, M., Brunetti, R., Reggiani, S. (eds) Springer Handbook of Semiconductor Devices . Springer Handbooks. Springer, Cham. https://doi.org/10.1007/978-3-030-79827-7_6

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-79827-7_6

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-79826-0

  • Online ISBN: 978-3-030-79827-7

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics