Skip to main content

Mapping Conductance and Carrier Distributions in Confined Three-Dimensional Transistor Structures

  • Chapter
  • First Online:
Electrical Atomic Force Microscopy for Nanoelectronics

Abstract

Probing the distribution of charge carriers in semiconductor device structures is of crucial importance to better understand semiconductor fabrication processes and how they affect the incorporation, diffusion and activation of dopants and hence the final device performance. Scanning spreading resistance microscopy (SSRM) has emerged as the most valuable technique for 2D and 3D carrier mapping in semiconductor device structures due to its excellent spatial resolution, sensitivity and ease of quantification. The present chapter first introduces the principles of the technique, thereby discussing the underlying physical mechanisms such as the nanometer-size probe-semiconductor contact. Faced with the stringent requirements imposed by advanced 3D device architectures, novel approaches and concepts such as 3D carrier profiling and fast Fourier transform-SSRM (FFT-SSRM) have been developed in the recent years. These methods aid in extending conventional SSRM toward quantitative carrier profiling in aggressively scaled 3D device structures which is illustrated on the example of selected relevant applications such as FinFETs and nanowire-based transistors.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 149.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 199.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 199.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. W. Vandervorst, M. Meuris, Method for resistance measurements on a semiconductor element with controlled probe pressure, EP-466274 (1992)

    Google Scholar 

  2. W. Vandervorst, M. Meuris, Method for resistance measurements on a semiconductor element with controlled probe pressure, US-5369372 (1994)

    Google Scholar 

  3. P. De Wolf, Two-dimensional carrier profiling of semiconductor structures with nanometer resolution. Ph.D. thesis (KU Leuven, Leuven, Belgium 1998)

    Google Scholar 

  4. P. De Wolf, J. Snauwaert, T. Clarysse, W. Vandervorst, L. Hellemans, Characterization of a point-contact on silicon using force microscopy-supported resistance measurements. Appl. Phys. Lett. 66(12), 1530 (1995)

    Article  ADS  Google Scholar 

  5. T. Clarysse, D. Vanhaeren, I. Hoflijk, W. Vandervorst, Characterization of electrically active dopant profiles with the spreading resistance probe. Mater. Sci. Eng.: R: Rep. 47(5–6), 123–206 (2004)

    Article  Google Scholar 

  6. G. Binnig, C.F. Quate, Ch. Gerber, Atomic force microscope. Phys. Rev. Lett. 56, 930–933 (1986)

    Article  ADS  Google Scholar 

  7. A. Schulze, P. Eyben, T. Hantschel, W. Vandervorst, Scanning spreading resistance microscopy (SSRM): high-resolution 2D and 3D carrier mapping of semiconductor nanostructures, in Metrology and Diagnostic Techniques for Nanoelectronics, pp. 377–445

    Chapter  Google Scholar 

  8. W.R. Thurber, R.L. Mattis, Y.M. Liu, J.J. Filliben, Resistivity-dopant density relationship for boron-doped silicon. J. Electrochem. Soc. 127(10), 2291–2294 (1980)

    Article  Google Scholar 

  9. W.R. Thurber, R.L. Mattis, Y.M. Liu, J.J. Filliben, Resistivity-dopant density relationship for phosphorus-doped silicon. J. Electrochem. Soc. 127(8), 1807–1812 (1980)

    Article  Google Scholar 

  10. Mool C. Gupta, Arthur L. Ruoff, Static compression of silicon in the [100] and in the [111] directions. J. Appl. Phys. 51(2), 1072–1075 (1980)

    Article  ADS  Google Scholar 

  11. J.Z. Hu, L.D. Merkle, C.S. Menoni, I.L. Spain, Crystal data for high-pressure phases of silicon. Phys. Rev. B 34(7), 4679–4684 (1986)

    Article  ADS  Google Scholar 

  12. G.M. Pharr, W.C. Oliver, R.F. Cook, P.D. Kirchner, M.C. Kroll, T.R. Dinger, D.R. Clarke, Electrical resistance of metallic contacts on silicon and germanium during indentation. J. Mater. Res. 7(4), 961–972 (1992)

    Article  ADS  Google Scholar 

  13. K. Mylvaganam, L.C. Zhang, P. Eyben, J. Mody, W. Vandervorst, Evolution of metastable phases in silicon during nanoindentation: mechanism analysis and experimental verification. Nanotechnology 20, 305705 (2009)

    Article  Google Scholar 

  14. Y.-H. Lin, T.-C. Chen, P.-F. Yang, S.-R. Jian, Y.-S. Lai, Atomic-level simulations of nanoindentation-induced phase transformation in mono-crystalline silicon. Appl. Surf. Sci. 254(5), 1415–1422 (2007)

    Article  ADS  Google Scholar 

  15. A.K. Lu, Modeling of nano pressure contacts on Ge. Master thesis (University of Liege, Liege, Belgium, 2012)

    Google Scholar 

  16. P. Eyben, Scanning spreading resistance microscopy: high resolution two-dimensional carrier profiling of semiconductor structures. Ph.D. thesis (KU Leuven, Leuven, Belgium, 2004)

    Google Scholar 

  17. P. Eyben, S. Denis, T. Clarysse, W. Vandervorst, Progress towards a physical contact model for scanning spreading resistance microscopy. Mater. Sci. Eng. B 102(1–3), 132–137 (2003)

    Article  Google Scholar 

  18. B.G. Streetman, S.K. Banerjee, Solid State Electronic Devices (Pearson Prentice Hall, Upper Saddle River, 2010)

    Google Scholar 

  19. A. Schulze, A.S. Verhulst, A. Nazir, T. Hantschel, P. Eyben, W. Vandervorst, A comprehensive model for the electrical nanocontact on germanium for scanning spreading resistance microscopy applications. J. Appl. Phys. 113, 114310 (2013)

    Article  ADS  Google Scholar 

  20. E. Simoen, F. De Stefano, G. Eneman, B. De Jaeger, C. Claeys, F. Crupi, On the temperature and field dependence of trap-assisted tunneling current in Ge p\(^+\)n junctions. IEEE Electron Device Lett. 30(5), 562–564 (2009)

    Article  ADS  Google Scholar 

  21. M. Xu, Application of scanning spreading resistance microscopy on InP-based materials and devices. Ph.D. thesis (KU Leuven, Leuven, Belgium, 2005)

    Google Scholar 

  22. D. Lin, G. Brammertz, S. Sioncke, C. Fleischmann, A. Delabie, K. Martens, H. Bender, T. Conard, W.H. Tseng, J.C. Lin, W.E. Wang, K. Temst, A. Vatomme, J. Mitard, M. Caymax, M. Meuris, M. Heyns, T. Hoffmann, Enabling the high-performance InGaAs, Ge CMOS: a common gate stack solution, in Electron Devices Meeting, IEDM (Technical Digest, IEEE International, 2010), p. 2009

    Google Scholar 

  23. T. Clarysse, P. Eyben, T. Janssens, I. Hoflijk, D. Vanhaeren, A. Satta, M. Meuris, W. Vandervorst, J. Bogdanowicz, G. Raskin, Active dopant characterization methodology for germanium. J. Vac. Sci. & Technol. B 24(1), 381–389 (2006)

    Article  Google Scholar 

  24. A. Schulze, T. Hantschel, P. Eyben, A. Vandooren, R. Rooyackers, J. Mody, A.S. Verhulst, W. Vandervorst, Quantitative two-dimensional carrier mapping in silicon nanowire-based tunnel-field effect transistors using scanning spreading resistance microscopy, in Proceedings MRS Spring Meeting 2010, vol. 1258 (San Francisco, USA, 2010)

    Google Scholar 

  25. P. Eyben, F. Clemente, K. Vanstreels, G. Pourtois, T. Clarysse, E. Duriau, T. Hantschel, K. Sankaran, J. Mody, W. Vandervorst, K. Mylvaganam, L. Zhang, Analysis and modeling of the high vacuum scanning spreading resistance microscopy nanocontact on silicon. J. Vac. Sci. & Technol. B: Microelectron. Nanometer Struct. 28(2), 401–406 (2010)

    Article  ADS  Google Scholar 

  26. T. Clarysse, M. Caymax, P. De Wolf, T. Trenkler, W. Vandervorst, J.S. McMurray, J. Kim, C.C. Williams, J.G. Clark, G. Neubauer, Epitaxial staircase structure for the calibration of electrical characterization techniques. J. Vac. Sci. & Technol. B 16(1), 394–400 (1998)

    Article  Google Scholar 

  27. P. Eyben, J. Mody, A. Nazir, A. Schulze, T. Clarysse, T. Hantschel, W. Vandervorst, Subnanometer characterization of nanoelectronic devices, in Fundamentals of Picoscience, pp. 677–705

    Chapter  Google Scholar 

  28. A. Schulze, Two- and three-dimensional dopant and conductivity profiling in confined volumes. Ph.D. thesis (KU Leuven, Belgium, 2013)

    Google Scholar 

  29. P. Eyben, J. Mody, A. Nazir, A. Schulze, T. Hantschel, W. Vandervorst, Development and Optimization of FIB-Based Sample Preparation for SSRM (E-MRS Fall Meeting, Warsaw (Poland), 2010)

    Google Scholar 

  30. W. Vandervorst, C. Fleischmann, J. Bogdanowicz, A. Franquet, U. Celano, K. Paredis, A. Budrevich, Dopant, composition and carrier profiling for 3D structures. Mater. Sci. Semicond. Process. 62, 31–48 (2017)

    Article  Google Scholar 

  31. T. Hantschel, C. Demeulemeester, P. Eyben, V. Schulz, O. Richard, H. Bender, W. Vandervorst, Conductive diamond tips with sub-nanometer electrical resolution for characterization of nanoelectronics device structures. physica status solidi (a) 206(9), 2077–2081 (2009)

    Article  ADS  Google Scholar 

  32. T. Hantschel, M. Tsigkourakos, L. Zha, T. Nuytten, K. Paredis, B. Majeed, W. Vandervorst, Diamond scanning probes with sub-nanometer resolution for advanced nanoelectronics device characterization. Microelectron. Eng. 159 (2016)

    Article  Google Scholar 

  33. P. Niedermann, W. Hanni, N. Blanc, R. Christoph, J. Burger, Chemical vapor deposition diamond for tips in nanoprobe experiments. J. Vac. Sci. & Technol. A 14, 1233–1236 (1996)

    Article  ADS  Google Scholar 

  34. C.Y. Tang, L.C. Zhang, A molecular dynamics analysis of the mechanical effect of water on the deformation of silicon monocrystals subjected to nano-indentation. Nanotechnology 16(1), 15–20

    Article  ADS  Google Scholar 

  35. T. Noda, J. Mitard, L. Witters, G. Hellings, C. Vrancken, P. Eyben, A. Thean, N. Horiguchi, W. Vandervorst, Analysis of dopant diffusion and defects in SiGe-channel implant free quantum well (IFQW) devices using an atomistic kinetic monte carlo approach (IEEE, New York), pp. 30.2.1–30.2.4

    Google Scholar 

  36. R.H. Dennard, F.H. Gaensslen, V.L. Rideout, E. Bassous, A.R. LeBlanc, Design of ion-implanted MOSFET’s with very small physical dimensions. IEEE J. Solid-State Circuits 9(5), 256–268 (1974)

    Article  ADS  Google Scholar 

  37. T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, T. Hoffmann, K. Johnson, C. Kenyon, J. Klaus, B. McIntyre, K. Mistry, A. Murthy, J. Sandford, M. Silberstein, S. Sivakumar, P. Smith, K. Zawadzki, S. Thompson, M. Bohr, A 90 nm high volume manufacturing logic technology featuring novel 45 nm gate length strained silicon CMOS transistors (IEEE, New York), pp. 11.6.1–11.6.3

    Google Scholar 

  38. K. Mistry, C. Allen, C. Auth, B. Beattie, D. Bergstrom, M. Bost, M. Brazier, M. Buehler, A. Cappellani, R. Chau, C.-H. Choi, G. Ding, K. Fischer, T. Ghani, R. Grover, W. Han, D. Hanken, M. Hattendorf, J. He, J. Hicks, R. Huessner, D. Ingerly, P. Jain, R. James, L. Jong, S. Joshi, C. Kenyon, K. Kuhn, K. Lee, H. Liu, J. Maiz, B. McIntyre, P. Moon, J. Neirynck, S. Pae, C. Parker, D. Parsons, C. Prasad, L. Pipes, M. Prince, P. Ranade, T. Reynolds, J. Sandford, L. Shifren, J. Sebastian, J. Seiple, D. Simon, S. Sivakumar, P. Smith, C. Thomas, T. Troeger, P. Vandervoorn, S. Williams, K. Zawadzki, A 45 nm logic technology with high-k+metal gate transistors, strained silicon, 9 Cu interconnect layers, 193 nm dry patterning, and 100% Pb-free packaging (IEEE, New York), pp. 247–250

    Google Scholar 

  39. S.-H. Oh, D. Monroe, J.M. Hergenrother, Analytic description of short-channel effects in fully-depleted double-gate and cylindrical, surrounding-gate MOSFETs. IEEE Electron Device Lett. 21(9), 445–447

    Google Scholar 

  40. R.-H. Yan, A. Ourmazd, K.F. Lee, Scaling the Si MOSFET: from bulk to SOI to bulk. IEEE Trans. Electron Devices 39(7), 1704–1710

    Article  ADS  Google Scholar 

  41. N. Planes, O. Weber, V. Barral, S. Haendler, D. Noblet, D. Croain, M. Bocat, P.-O. Sassoulas, X. Federspiel, A. Cros, A. Bajolet, E. Richard, B. Dumont, P. Perreau, D. Petit, D. Golanski, C. Fenouillet-Beranger, N. Guillot, M. Rafik, V. Huard, S. Puget, X. Montagner, M.-A. Jaud, O. Rozeau, O. Saxod, F. Wacquant, F. Monsieur, D. Barge, L. Pinzelli, M. Mellier, F. Boeuf, F. Arnaud, M. Haond, 28 nm FDSOI technology platform for high-speed low-voltage digital applications (IEEE, New York), pp. 133–134

    Google Scholar 

  42. X. Huang, W.-C. Lee, C. Kuo, D. Hisamoto, L. Chang, J. Kedzierski, E. Anderson, H. Takeuchi, Y.-K. Choi, K. Asano, V. Subramanian, T.-J. King, J. Bokor, C. Hu, Sub 50-nm FinFET: PMOS (IEEE, New York), pp. 67–70

    Google Scholar 

  43. C.-H. Jan, U. Bhattacharya, R. Brain, S.-J. Choi, G. Curello, G. Gupta, W. Hafez, M. Jang, M. Kang, K. Komeyli, T. Leo, N. Nidhi, L. Pan, J. Park, K. Phoa, A. Rahman, C. Staus, H. Tashiro, C. Tsai, P. Vandervoorn, L. Yang, J.-Y. Yeh, P. Bai, A 22 nm SoC platform technology featuring 3-D tri-gate and high-k/metal gate, optimized for ultra low power, high performance and high density SoC applications (IEEE, New York), pp. 3.1.1–3.1.4

    Google Scholar 

  44. H. Mertens, R. Ritzenthaler, V. Pena, G. Santoro, K. Kenis, A. Schulze, E. D. Litta, S. A. Chew, K. Devriendt, r. Chiarella, S. Demuynck, D. Yakimets, D. Jang, A. Spessot, G. Eneman, A. Dangol, P. Lagrain, H. Bender, S. Sun, M. Korolik, D. Kioussis, M. Kim, K-.H. Bu, S. C. Chen, M. Cogorno, J. Devrajan, J. Machillot, N. Yoshida, N. Kim, K. Barla, D. Mocuta, N. Horiguchi, Vertically stacked gate-all-around Si nanowire transistors: key process optimizations and ring oscillator demonstration (IEEE, New York), pp. 37.4.1–37.4.4

    Google Scholar 

  45. L. Witters, F. Sebaai, A. Hikavyy, A. P. Milenin, R. Loo, A. De Keersgieter, G. Eneman, T. Schram, K. Wostyn, K. Devriendt, A. Schulze, R. Lieten, S. Bilodeau, E. Cooper, P. Storck, C. Vrancken, H. Arimura, P. Favia, E. Vancoille, J. Mitard, R. Langer, A. Opdebeeck, F. Holsteyns, N. Waldron, K. Barla, V. De Heyn, D. Mocuta, N. Collaert, Strained germanium gate-all-around PMOS device demonstration using selective wire release etch prior to replacement metal gate deposition (IEEE, New York), pp. T194–T195

    Google Scholar 

  46. A.A. Budrevich, W. Vandervorst, Sims analysis on the transistor scale: probing composition and dopants in nonplanar, confined 3D volumes, in Metrology and Diagnostic Techniques for Nanoelectronics, pp. 153–206

    Chapter  Google Scholar 

  47. A.K. Kambham, G. Zschaetzsch, Y. Sasaki, M. Togo, N. Horiguchi, J. Mody, A. Florakis, D.R. Gajula, A. Kumar, M. Gilbert, W. Vandervorst, Atom probe tomography for 3D-dopant analysis in FinFET devices (IEEE, New York), pp. 77–78

    Google Scholar 

  48. J. Mody, A.K. Kambham, G. Zschatzsch, T. Chiarella, N. Collaert, L. Witters, P. Eyben, M. Gilbert, S. Kolling, A. Schulze, T.-Y. Hoffmann, W. Vandervorst, Dopant and carrier profiling for 3D-device architectures (IEEE, New York), pp. 108–113

    Google Scholar 

  49. A. Schulze, T. Hantschel, P. Eyben, A.S. Verhulst, R. Rooyackers, A. Vandooren, J. Mody, A. Nazir, D. Leonelli, W. Vandervorst, Observation of diameter dependent carrier distribution in nanowire-based transistors. Nanotechnology 22(18) (2011)

    Article  ADS  Google Scholar 

  50. A. Schulze, A. Florakis, T. Hantschel, P. Eyben, A.S. Verhulst, R. Rooyackers, A. Vandooren, W. Vandervorst, Diameter-dependent boron diffusion in silicon nanowire-based transistors. Appl. Phys. Lett. 102(5), 052108

    Article  ADS  Google Scholar 

  51. Sentaurus process, rel. f-2011.09, synopsys, 2011

    Google Scholar 

  52. A. Vandooren, R. Rooyackers, D. Leonelli, F. Iacopi, E Kunnen, D. Nguyen, M. Demand, P. Ong, L. Willie, J. Moonens, O. Richard, A.S. Verhulst, W.G. Vandenberghe, G. Groeseneken, S. De Gendt, M. Heyns, A 35 nm diameter vertical silicon nanowire short-gate tunnelFET with high-k/metal gate, in Silicon Nanoelectronics Workshop (Kyoto, 2009), p. 21

    Google Scholar 

  53. D.C. Mueller, E. Alonso, W. Fichtner, Arsenic deactivation in Si: electronic structure and charge states of vacancy-impurity clusters. Phys. Rev. B 68(4), 045208 (2003)

    Article  ADS  Google Scholar 

  54. D.W. Lawther, U. Myler, P.J. Simpson, P.M. Rousseau, P.B. Griffin, J.D. Plummer, Vacancy generation resulting from electrical deactivation of arsenic. Appl. Phys. Lett. 67(24), 3575–3577 (1995)

    Article  ADS  Google Scholar 

  55. A. Schulze, T. Hantschel, P. Eyben, A.S. Verhulst, R. Rooyackers, A. Vandooren, W. Vandervorst, Quantitative three-dimensional carrier mapping in nanowire-based transistors using scanning spreading resistance microscopy. Ultramicroscopy 125, 18–23 (2013)

    Article  Google Scholar 

  56. J. Mody, P. Eyben, W. Polspoel, J. Malgorzata, W. Vandervorst, Scanning spreading resistance microscopy for 3D-Carrier profiling in FinFET-Based structures (San Francisco, 2008)

    Google Scholar 

  57. J. Mody, G. Zschatzsch, S. Kolling, A. De Keersgieter, G. Eneman, A.K. Kambham, C. Drijbooms, A. Schulze, T. Chiarella, N. Horiguchi, T.-Y. Hoffmann, P. Eyben, W. Vandervorst, 3D-carrier profiling in FinFETs using scanning spreading resistance microscopy, in 2011 IEEE International Electron Devices Meeting (IEDM) (2011)

    Google Scholar 

  58. A. Schulze, T. Hantschel, A. Dathe, P. Eyben, X. Ke, W. Vandervorst, Electrical tomography using atomic force microscopy and its application towards carbon nanotube-based interconnects. Nanotechnology 23, 305707 (2012)

    Article  Google Scholar 

  59. P. Eyben, T. Chiarella, S. Kubicek, H. Bender, O. Richard, J. Mitard, A. Mocuta, N. Horiguchi, A.V.-Y. Thean, Scalpel soft retrace scanning spreading resistance microscopy for 3D-carrier profiling in sub-10 nm WFIN FinFET (IEEE, New York), pp. 14.1.1–14.1.4

    Google Scholar 

  60. U. Celano, F.-C. Hsia, D. Vanhaeren, K. Paredis, T.E. M. Nordling, J.G. Buijnsters, T. Hantschel, W. Vandervorst, Mesoscopic physical removal of material using sliding nano-diamond contacts. Sci. Rep. 8(1)

    Google Scholar 

  61. A. Schulze, R. Cao, P. Eyben, T. Hantschel, W. Vandervorst, Outwitting the series resistance in scanning spreading resistance microscopy. Ultramicroscopy 161, 59–65

    Article  Google Scholar 

  62. Method for determining local resistivity and carrier concentration using scanning spreading resistance measurement set-up

    Google Scholar 

  63. P. Eyben, P. Bisiaux, A. Schulze, A. Nazir, W. Vandervorst, Fast fourier transform scanning spreading resistance microscopy: a novel technique to overcome the limitations of classical conductive AFM techniques. Nanotechnology 26(35), 355702

    Article  Google Scholar 

  64. N.G. Orji, M. Badaroglu, B.M. Barnes, C. Beitia, B.D. Bunday, U. Celano, R.J. Kline, M. Neisser, Y. Obeng, A.E. Vladar, Metrology for the next generation of semiconductor devices. Nat. Electron. 1, 532–547 (2018)

    Article  Google Scholar 

  65. U. Celano, Individual device analysis using hybrid TEM-scalpel SSRM metrology, in Frontiers for Materials Characterization at the Nanoscale (FMCN)

    Google Scholar 

Download references

Acknowledgements

The authors acknowledge C. Drijbooms, P. Favia and H. Bender for their contributions related to FIB and TEM work.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Andreas Schulze .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Schulze, A. et al. (2019). Mapping Conductance and Carrier Distributions in Confined Three-Dimensional Transistor Structures. In: Celano, U. (eds) Electrical Atomic Force Microscopy for Nanoelectronics. NanoScience and Technology. Springer, Cham. https://doi.org/10.1007/978-3-030-15612-1_3

Download citation

Publish with us

Policies and ethics