Skip to main content

Variability in Nanometer Technologies and Impact on SRAM

  • Chapter
  • First Online:
Nanometer Variation-Tolerant SRAM

Abstract

In recent technologies, there is a high demand to integrate large embedded memories in microprocessors and SoCs. In addition, SRAM bitcell area scaling continues to follow an aggressive 50 % reduction each technology node. Technology scaling and the reduction in device sizes increase variations, which have a strong impact on SRAM operation. In this chapter, we review different types of variations that affect SRAM. We start by presenting the SRAM scaling trends in Sect. 2.1. Next, in Sects. 2.2–2.5, we briefly review sources of variability in nanometer CMOS technology including device, interconnect, and environmental variations. The impact of variations on SRAM operation is presented in Sect. 2.6. Due to the similarities between SRAM and logic circuits, techniques to deal with variations logic circuits are presented in Sect. 2.7.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Y. Zorian, Embedded memory test and repair: infrastructure IP for SOC yield, in Proceedings the International Test Conference (ITC), 2002, pp. 340–349

    Google Scholar 

  2. R. Kuppuswamy, S. Sawant, S. Balasubramanian, P. Kaushik, N. Natarajan, J. Gilbert, Over one million TPCC with a 45nm 6-core Xeon ® CPU, in IEEE International Solid-State Circuits Conference—Digest of Technical Papers, ISSCC 2009, Febraury 2009, pp. 70–71, 71a

    Google Scholar 

  3. H. Pilo, IEDM SRAM short course, (2006)

    Google Scholar 

  4. H. Yamauchi, Embedded SRAM circuit design technologies for a 45 nm and beyond, in: ASICON ’07: 7th International Conference on ASIC, 22–25 October 2007, pp. 1028–1033

    Google Scholar 

  5. K. Agarwal, S. Nassif, Statistical analysis of SRAM cell stability, in DAC ’06: Proceedings of the 43rd Annual Conference on Design Automation, 2006, pp. 57–62

    Google Scholar 

  6. A. Bhavnagarwala, S. Kosonocky, C. Radens, K. Stawiasz, R. Mann, Q. Ye, K. Chin, Fluctuation limits and scaling opportunities for CMOS SRAM cells, in Proceedings of the International Electron Devices Meeting (IEDM), 2005, pp. 659–662

    Google Scholar 

  7. H. Pilo, C. Barwin, G. Braceras, C. Browning, S. Lamphier, F. Towler, An SRAM design in 65-nm technology node featuring read and write-assist circuits to expand operating voltage. IEEE J. Solid-State Circuits 42(4), 813–819 (2007)

    Article  Google Scholar 

  8. M. Yamaoka, N. Maeda, Y. Shinozaki, Y. Shimazaki, K. Nii, S. Shimada, K. Yanagisawa, T. Kawahara, 90-nm process-variation adaptive embedded SRAM modules with power-line-floating write technique. IEEE J. Solid-State Circuits 41(3), 705–711 (2006)

    Article  Google Scholar 

  9. S. Mukhopadhyay, H. Mahmoodi, K. Roy, Statistical design and optimization of SRAM cell for yield enhancement, in Proceedings of International Conference on Computer Aided Design, 2004, pp. 10–13

    Google Scholar 

  10. The International Technology Roadmap for Semiconductors (ITRS). http://public.itrs.net

  11. M. Sinangil, H. Mair, A. Chandrakasan, A 28 nm high-density 6T SRAM with optimized peripheral-assist circuits for operation down to 0.6 V, in IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), Febraury 2011, pp. 260–262

    Google Scholar 

  12. B. Wong, A. Mittal, Y. Cao, G.W. Starr, Nano-CMOS Circuit and Physical Design (Wiley-Interscience, New York, 2004)

    Google Scholar 

  13. S. Sapatnekar, Timing (Springer, Boston, 2004)

    Google Scholar 

  14. A. Chandrakasan, W.J. Bowhill, F.Fox, Design of High-Performance Microprocessor Circuits (Wiley-IEEE Press, Piscataway, 2000)

    Google Scholar 

  15. A. Srivastava, D. Sylvester, D. Blaauw, Statistical Analysis and Optimization for VLSI: Timing and Power (Series on Integrated Circuits and Systems) (Springer, Boston, 2005)

    Google Scholar 

  16. Y. Cheng, C. Hu, MOSFET Modeling and BSIM User Guide (Kluwer Academic Publishers, Boston, 1999)

    Google Scholar 

  17. J. Tschanz, K. Bowman, V. De, Variation-tolerant circuits: circuit solutions and techniques, in DAC ’05: Proceedings of the 42nd Annual Conference on Design automation, 2005, pp. 762–763

    Google Scholar 

  18. S. Borkar, T. Karnik, S. Narendra, J. Tschanz, A. Keshavarzi, V. De, Parameter variations and impact on circuits and microarchitecture, in DAC ’03: Proceedings of the 40th conference on Design automation, 2003, pp. 338–342

    Google Scholar 

  19. T. Karnik, S. Borkar, V. De, Sub-90 nm technologies: challenges and opportunities for CAD, in ICCAD ’02: Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2002, pp. 203–206

    Google Scholar 

  20. S. Borkar, T. Karnik, V. De, Design and reliability challenges in nanometer technologies, in DAC ’04: Proceedings of the 41st Annual Conference on Design Automation, 2004, pp. 75–75

    Google Scholar 

  21. A. Keshavarzi, G. Schrom, S. Tang, S. Ma, K. Bowman, S. Tyagi, K. Zhang, T. Linton, N. Hakim, S. Duvall, J. Brews, V. De, Measurements and modeling of intrinsic fluctuations in MOSFET threshold voltage, in ISLPED ’05: Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005, pp. 26–29

    Google Scholar 

  22. K. Bowman, S. Duvall, J. Meindl, Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration. IEEE J. Solid-State Circuits 37(2), 183–190 (2002)

    Article  Google Scholar 

  23. H. Masuda, S. Ohkawa, A. Kurokawa, M. Aoki, Challenge: variability characterization and modeling for 65- to 90-nm processes, in Proceedings of IEEE Custom Integrated Circuits Conference, 2005, pp. 593–599

    Google Scholar 

  24. J.A. Croon, W. Sansen, H.E. Maes, Matching Properties of Deep Sub-Micron MOS Transistors (Springer, New York, 2005)

    Google Scholar 

  25. Y. Taur, T.H. Ning, Fundamentals of Modern VLSI Devices (Cambridge University Press, New York, 1998)

    Google Scholar 

  26. T. Mizuno, J. Okumtura, A. Toriumi, Experimental study of threshold voltage fluctuation due to statistical variation of channel dopant number in MOSFET’s. IEEE Trans. Electron Devices 41(11), 2216–2221 (1994)

    Article  Google Scholar 

  27. K. Takeuchi, T. Tatsumi, A. Furukawa, Channel engineering for the reduction of random-dopant-placement-induced threshold voltage fluctuations, in Proceedings of the International Electron Devices Meeting (IEDM), 1996, pp. 841–844

    Google Scholar 

  28. A. Asenov, A. Brown, J. Davies, S. Kaya, G. Slavcheva, Simulation of intrinsic parameter fluctuations in decananometer and nanometer-scale MOSFETs. IEEE Trans. Electron Devices 50(9), 1837–1852 (2003)

    Google Scholar 

  29. K. Sonoda, K. Ishikawa, T. Eimori, O. Tsuchiya, Discrete dopant effects on statistical variation of random telegraph signal magnitude. IEEE Trans. Electron Devices. 54(8), 1918–1925 (2007)

    Google Scholar 

  30. M. Miyamura, T. Fukai, T. Ikezawa, R. Ueno, K. Takeuchi, M. Hane, SRAM critical yield evaluation based on comprehensive physical/statistical modeling, considering anomalous non-gaussian intrinsic transistor fluctuations, Proceedings of IEEE Symposium on VLSI Technology, June 2007, pp. 22–23

    Google Scholar 

  31. M. Pelgrom, A. Duinmaijer, A. Welbers, Matching properties of MOS transistors. IEEE J. Solid-State Circuits 24(5), 1433–1439 (1989)

    Article  Google Scholar 

  32. D. Frank, R. Dennard, E. Nowak, P. Solomon, Y. Taur, H.S. Wong, Device scaling limits of Si MOSFETs and their application dependencies. Proc. IEEE 89(3), 259–288 (2001)

    Article  Google Scholar 

  33. Y. Ye, F. Liu, M. Chen, S. Nassif, Y. Cao, Statistical modeling and simulation of threshold variation under random dopant fluctuations and line-edge roughness, IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 19(6), 987–996 (2011)

    Google Scholar 

  34. B. Razavi, Design of Analog CMOS Integrated Circuits (McGraw-Hill, New York, 2000)

    Google Scholar 

  35. T.-C. Chen, Where is CMOS going: trendy hype versus real technology, in Proceedings of the International Solid-State Circuits Conference ISSCC, 2006, pp. 22–28

    Google Scholar 

  36. K. Kuhn, CMOS transistor scaling past 32 nm and implications on variation, in Advanced Semiconductor Manufacturing Conference (ASMC), IEEE/SEMI, July 2010, pp. 241–246

    Google Scholar 

  37. J.-T. Kong, CAD for nanometer silicon design challenges and success. IEEE Trans. Very Large Scale Integr. Syst. 12(11), 1132–1147 (2004)

    Article  Google Scholar 

  38. P. Friedberg, Y. Cao, J. Cain, R. Wang, J. Rabaey, C. Spanos, Modeling within-die spatial correlation effects for process-design co-optimization, in ISQED ’05: Proceedings of the Sixth International Symposium on Quality of Electronic Design, 2005, pp. 516–521

    Google Scholar 

  39. C. Wu, Y. Leung, C. Chang, M. Tsai, H. Huang, D. Lin, Y. Sheu, C. Hsieh, W. Liang, L. Han, W. Chen, S. Chang, S. Wu, S. Lin, H. Lin, C. Wang, P. Wang, T. Lee, C. Fu, C. Chang, S. Chen, S. Jang, S. Shue, H. Lin, Y. See, Y. Mii, C. Diaz, B. Lin, M. Liang, Y. Sun, A 90-nm CMOS device technology with high-speed, general-purpose, and low-leakage transistors for system on chip applications, in Proceedings of the International Electron Devices Meeting (IEDM), 2002, pp. 65–68

    Google Scholar 

  40. K. Takeuchi, T. Nagumo, K. Takeda, S. Asayama, S. Yokogawa, K. Imai, Y. Hayashi, Direct observation of RTN-induced SRAM failure by accelerated testing and its application to product reliability assessment, in Symposium on VLSI Technology (VLSIT), June 2010, pp. 189–190

    Google Scholar 

  41. N. Tega, H. Miki, F. Pagette, D. Frank, A. Ray, M. Rooks, W. Haensch, K. Torii, Increasing threshold voltage variation due to random telegraph noise in FETs as gate lengths scale to 20 nm, in Symposium on VLSI Technology, June 2009, pp. 50–51

    Google Scholar 

  42. N. Tega, H. Miki, M. Yamaoka, H. Kume, T. Mine, T. Ishida, Y. Mori, R. Yamada, K. Torii, Impact of threshold voltage fluctuation due to random telegraph noise on scaled-down SRAM, in IEEE International Reliability Physics Symposium IRPS 2008, 27-May 1 2008, pp. 541–546

    Google Scholar 

  43. S. Bhardwaj, W. Wang, R. Vattikonda, Y. Cao, S. Vrudhula, Predictive modeling of the NBTI effect for reliable design, in Custom Integrated Circuits Conference CICC ’06, IEEE, Septemper 2006, pp. 189–192

    Google Scholar 

  44. J. Lin, A. Oates, H. Tseng, Y. Liao, T. Chung, K. Huang, P. Tong, S. Yau, Y. Wang, Prediction and control of NBTI induced SRAM Vccmin drift, in Proceedings of the International Electron Devices Meeting (IEDM), 2006

    Google Scholar 

  45. S. Chakravarthi, A. Krishnan, V. Reddy, C. Machala, S. Krishnan, A comprehensive framework for predictive modeling of negative bias temperature instability, in Proceedings of the 42nd Annual IEEE International Reliability Physics Symposium, April 2004, pp. 273–282

    Google Scholar 

  46. S. Drapatz, K. Hofmann, G. Georgakos, D. Schmitt-Landsiedel, Impact of fast-recovering NBTI degradation on stability of large-scale SRAM arrays, in Proceedings of the European Solid-State Device Research Conference (ESSDERC), September 2010, pp. 146–149

    Google Scholar 

  47. J. Lin, A. Oates, C. Yu, Time dependent Vccmin degradation of SRAM fabricated with High-k gate dielectrics, in proceedings of the IEEE International 45th Annual Reliability Physics Symposium, April 2007, pp. 439–444

    Google Scholar 

  48. P. Kinget, Device mismatch and tradeoffs in the design of analog circuits. IEEE J. Solid-State Circuits 40(6), 1212–1224 (2005)

    Article  Google Scholar 

  49. E. Karl, Y. Wang, Y.-G. Ng, Z. Guo, F. Hamzaoglu, U. Bhattacharya, K. Zhang, K. Mistry, M. Bohr, A 4.6 GHz 162 Mb SRAM design in 22 nm tri-gate CMOS technology with integrated active VMIN-enhancing assist circuitry, in IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), Febraury 2012, pp. 230–232

    Google Scholar 

  50. S. Damaraju, V. George, S. Jahagirdar, T. Khondker, R. Milstrey, S. Sarkar, S. Siers, I. Stolero, A. Subbiah, A 22 nm IA multi-CPU and GPU system-on-chip, in Solid-State Circuits Conference Digest of Technical Papers (ISSCC), IEEE International, Febraury 2012, pp. 56–57

    Google Scholar 

  51. K. Kuhn, Reducing variation in advanced logic technologies: Approaches to process and design for manufacturability of nanoscale CMOS, in IEEE International Electron Devices Meeting IEDM 2007, December 2007, pp. 471–474

    Google Scholar 

  52. Y. Liu, K. Endo, S. O’uchi, T. Kamei, J. Tsukada, H. Yamauchi, Y. Ishikawa, T. Hayashida, K. Sakamoto, T. Matsukawa, A. Ogura, and M. Masahara, On the gate-stack origin threshold voltage variability in scaled FinFETs and multi-FinFETs, in Symposium on VLSI Technology (VLSIT), June 2010, pp. 101–102

    Google Scholar 

  53. M. Khellah, D. Khalil, D. Somasekhar, Y. Ismail, T. Karnik, V. De, Effect of power supply noise on SRAM dynamic stability, Proceedings of IEEE Symposium on VLSI Circuits, June 2007, pp. 76–77

    Google Scholar 

  54. R. Heald, P. Wang, Variability in sub-100 nm SRAM designs, in Proceedings of International Conference on Computer Aided Design, 2004, pp. 347–352

    Google Scholar 

  55. M. Yamaoka, N. Maeda, Y. Shinozaki, Y. Shimazaki, K. Nii, S. Shimada, K. Yanagisawa, T. Kawahara, Low-power embedded SRAM modules with expanded margins for writing, in Proceedings of the International Solid-State Circuits Conference ISSCC, 2005, vol. 1, pp. 480–611

    Google Scholar 

  56. M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Ohbayashi, S. Imaoka, H. Makino, Y. Yamagami, S. lshikura, T. Terano, T. Oashi, K. Hashimoto, A. Sebe, G. Okazaki, K. Satomi, H. Akamatsu, H. Shinohara, A 45 nm low-standby-power embedded SRAM with improved immunity against process and temperature variations, in Proceedings of the International Solid-State Circuits Conference ISSCC, 11–15 Febraury 2007, pp. 326–606

    Google Scholar 

  57. H. Qin, Y. Cao, D. Markovic, A. Vladimirescu, J. Rabaey, SRAM leakage suppression by minimizing standby supply voltage, in Proceedings of the International Symposium on Quality of Electronic Design ISQED, 2004, pp. 55–60

    Google Scholar 

  58. J. Wang, A. Singhee, R. Rutenbar, B. Calhoun, Statistical modeling for the minimum standby supply voltage of a full SRAM array, in 33rd European Solid State Circuits Conference ESSCIRC, September 2007, pp. 400–403

    Google Scholar 

  59. M.H. Abu-Rahma, K. Chowdhury, J. Wang, Z. Chen, S.S. Yoon, M. Anis, A methodology for statistical estimation of read access yield in SRAMs, in DAC ’08: Proceedings of the 45th Conference on Design Automation, 2008, pp. 205–210

    Google Scholar 

  60. M. Yamaoka, T. Kawahara, Operating-margin-improved SRAM with column-at-a-time body-bias control technique, in 33rd European Solid State Circuits Conference ESSCIRC, 11–13 September 2007, pp. 396–399

    Google Scholar 

  61. E. Seevinck, F. List, J. Lohstroh, Static-noise margin analysis of MOS SRAM cells. IEEE J. Solid-State Circuits 22(5), 748–754 (1987)

    Article  Google Scholar 

  62. K. Takeda, H. Ikeda, Y. Hagihara, M. Nomura, H. Kobatake, Redefinition of write margin for next-generation SRAM and write-margin monitoring circuit, in Proceedings of the International Solid-State Circuits Conference ISSCC, 2006, pp. 2602–2611

    Google Scholar 

  63. J.M. Rabaey, A. Chandrakasan, B. Nikolic, Digital Integrated Circuits, 2nd Edn. (Prentice Hall, Englewood Cliffs, 2002)

    Google Scholar 

  64. K. Aadithya, S. Venogopalan, A. Demir, J. Roychowdhury, Mustard: a coupled, stochastic/deterministic, discrete/continuous technique for predicting the impact of random telegraph noise on SRAMs and DRAMs, in Design Automation Conference (DAC), 2011 48th ACM/EDAC/IEEE, June 2011, pp. 292–297

    Google Scholar 

  65. F. shi Lai, C.-F. Lee, On-chip voltage down converter to improve SRAM read/write margin and static power for sub-nano CMOS technology, IEEE J. Solid-State Circuits 42(9), 2061–2070 (2007)

    Google Scholar 

  66. A. Carlson, Mechanism of increase in SRAM Vmin due to negative-bias temperature instability, IEEE Trans. Device Mater. Reliab. 7(3), 473–478 (2007)

    Google Scholar 

  67. A. Krishnan, V. Reddy, D. Aldrich, J. Raval, K. Christensen, J. Rosal, C. O’Brien, R. Khamankar, A. Marshall, W.-K. Loh, R. McKee, S. Krishnan, SRAM cell static noise margin and VMIN sensitivity to transistor degradation, in Proceedings of the International Electron Devices Meeting (IEDM), 2006

    Google Scholar 

  68. G. La Rosa, W.L. Ng, S. Rauch, R. Wong, J. Sudijono, Impact of NBTI induced statistical variation to SRAM cell stability, in Proceedings of the 44th Annual IEEE International Reliability Physics Symposium, March 2006, pp. 274–282

    Google Scholar 

  69. K. Kang, H. Kufluoglu, K. Roy, M. Ashraful Alam, Impact of negative-bias temperature instability in nanoscale SRAM array: Modeling and analysis. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 26(10), 1770–1781 (2007)

    Article  Google Scholar 

  70. M. Ball, J. Rosal, R. McKee, W. Loh, T. Houston, R. Garcia, J. Raval, D. Li, R. Hollingsworth, R. Gury, R. Eklund, J. Vaccani, B. Castellano, F. Piacibello, S. Ashburn, A. Tsao, A. Krishnan, J. Ondrusek, T. Anderson, A screening methodology for VMIN drift in SRAM arrays with application to sub-65 nm nodes, in International Electron Devices Meeting, IEDM ’06, December 2006, pp. 1–4

    Google Scholar 

  71. M. Agostinelli, J. Hicks, J. Xu, B. Woolery, K. Mistry, K. Zhang, S. Jacobs, J. Jopling, W. Yang, B. Lee, T. Raz, M. Mehalel, P. Kolar, Y. Wang, J. Sandford, D. Pivin, C. Peterson, M. DiBattista, S. Pae, M. Jones, S. Johnson, G. Subramanian, Erratic fluctuations of SRAM cache vmin at the 90 nm process technology node, in Electron Devices Meeting IEDM Technical Digest. IEEE International, December 2005, pp. 655–658

    Google Scholar 

  72. M. Tanizawa, S. Ohbayashi, T. Okagaki, K. Sonoda, K. Eikyu, Y. Hirano, K. Ishikawa, O. Tsuchiya, Y. Inoue, Application of a statistical compact model for random telegraph noise to scaled-SRAM Vmin analysis, in Symposium on VLSI Technology (VLSIT), June 2010, pp. 95–96

    Google Scholar 

  73. S. O. Toh, T.-J. K. Liu, B. Nikolic, Impact of random telegraph signaling noise on SRAM stability, in Symposium on VLSI Technology (VLSIT), June 2011, pp. 204–205

    Google Scholar 

  74. M. Yamaoka, H. Miki, A. Bansal, S. Wu, D. Frank, E. Leobandung, K. Torii, Evaluation methodology for random telegraph noise effects in SRAM arrays, in IEEE International Electron Devices Meeting (IEDM), December 2011, pp. 32.2.1–32.2.4

    Google Scholar 

  75. S.O. Toh, Y. Tsukamoto, Z. Guo, L. Jones, T.-J.K. Liu, B. Nikolic, Impact of random telegraph signals on Vmin in 45 nm SRAM, in IEEE International Electron Devices Meeting (IEDM), December 2009, pp. 1–4

    Google Scholar 

  76. K. Takeuchi, T. Nagumo, T. Hase, Comprehensive SRAM design methodology for RTN reliability, in Symposium on VLSI Technology (VLSIT), June 2011, pp. 130–131

    Google Scholar 

  77. Y. Tsukamoto, S. O. Toh, C. Shin, A. Mairena, T.-J. K. Liu, B. Nikolić, Analysis of the relationship between random telegraph signal and negative bias temperature instability, in IEEE International Reliability Physics Symposium (IRPS), May 2010, pp. 1117–1121

    Google Scholar 

  78. R. Baumann, The impact of technology scaling on soft error rate performance and limits to the efficacy of error correction, in Proceedings of the International Electron Devices Meeting (IEDM), 2002, pp. 329–332

    Google Scholar 

  79. R. Baumann, Soft errors in advanced computer systems, IEEE Des. Test of Comput. 22(3) 258–266 (2005)

    Google Scholar 

  80. E. Cannon, D. Reinhardt, M. Gordon, P. Makowenskyj, SRAM SER in 90, 130 and 180 nm bulk and SOI technologies, in Proceedings of the 42nd IEEE International Reliability Physics Symposium, 2004, pp. 300–304

    Google Scholar 

  81. A. Balasubramanian, P. Fleming, B. Bhuva, A. Sternberg, L. Massengill, Implications of dopant-fluctuation-induced \(v_{t}\) variations on the radiation hardness of deep submicrometer CMOS SRAMs. IEEE Trans. Device and Mater. Reliab. 8(1), 135–144 (2008)

    Article  Google Scholar 

  82. E. Cannon, A. KleinOsowski, R. Kanj, D. Reinhardt, R. Joshi, The impact of aging effects and manufacturing variation on SRAM soft-error rate, IEEE Trans. Device Mater. Reliab. 8(1), 145–152 (2008)

    Google Scholar 

  83. S.S. Mukherjee, J. Emer, S.K. Reinhardt, The soft error problem: an architectural perspective, in HPCA ’05: Proceedings of the 11th International Symposium on High-Performance Computer Architecture, 2005, pp. 243–247

    Google Scholar 

  84. E. Ibe, H. Taniguchi, Y. Yahagi, K.-i. Shimbo, and T. Toba, Impact of scaling on neutron-induced soft error in SRAMs from a 250 nm to a 22 nm design rule, IEEE Trans. Electron Devices 57(7), 1527–1538 (2010)

    Google Scholar 

  85. A. Dixit, A. Wood, The impact of new technology on soft error rates, in Reliability Physics Symposium (IRPS), 2011 IEEE International, April 2011, pp. 5B.4.1–5B.4.7

    Google Scholar 

  86. N.H. Weste, D. Harris, CMOS VLSI Design : A Circuits and Systems Perspective, 3rd Edn. (Addison Wesley, Boston, 2004)

    Google Scholar 

  87. K. Itoh, M. Horiguchi, M. Yamaoka, Low-voltage limitations of memory-rich nano-scale CMOS LSIs, in 37th European Solid State Device Research Conference, ESSDERC 2007, Septemper 2007, pp. 68–75

    Google Scholar 

  88. R. Rajsuman, Design and test of large embedded memories: an overview. IEEE Des. Test Comput. 18(3), 16–27 (2001)

    Article  Google Scholar 

  89. Y. Zorian, S. Shoukourian, Embedded-memory test and repair: infrastructure IP for SoC yield. IEEE Des. Test Comput. 20(3), 58–66 (2003)

    Article  Google Scholar 

  90. T. Chen, V.-K. Kim, M. Tegethoff, IC yield estimation at early stages of the design cycle. Microelectron. J. 30(8), 725–732 (1999)

    Article  Google Scholar 

  91. T. Barnett, M. Grady, K. Purdy, A. Singh, Redundancy implications for early-life reliability: experimental verification of an integrated yield-reliability model, in Proceedings of the International Test Conference, 2002, pp. 693–699

    Google Scholar 

  92. K. Roy, S. Mukhopadhyay, H. Mahmoodi-Meimand, Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits. Proc. IEEE 91(2), 305–327 (2003)

    Article  Google Scholar 

  93. Y.-S. Lin, C.-C. Wu, C.-S. Chang, R.-P. Yang, W.-M. Chen, J.-J. Liaw, C. Diaz, Leakage scaling in deep submicron CMOS for SoC. IEEE Trans. Electron Devices 49(6), 1034–1041 (2002)

    Article  Google Scholar 

  94. J. Kao, S. Narendra, A. Chandrakasan, Subthreshold leakage modeling and reduction techniques, in ICCAD ’02: Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2002, pp. 141–148

    Google Scholar 

  95. S. Narendra, V. De, S. Borkar, D. Antoniadis, A. Chandrakasan, Full-chip subthreshold leakage power prediction and reduction techniques for sub-0.18 \(\mu \)m CMOS. IEEE J. Solid-State Circuits 39(2), 501–510 (2004)

    Article  Google Scholar 

  96. J.P. de Gyvez, H. Tuinhout, Threshold voltage mismatch and intra-die leakage current in digital CMOS circuits. IEEE J. Solid-State Circuits 39(1), 157–168 (2004)

    Article  Google Scholar 

  97. S. Narendra, V. De, S. Borkar, D. Antoniadis, A. Chandrakasan, Full-chip sub-threshold leakage power prediction model for sub-0.18 \(\mu \)m CMOS, in ISLPED ’02: Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002, pp. 19–23

    Google Scholar 

  98. R. Rao, A. Srivastava, D. Blaauw, D. Sylvester, Statistical analysis of subthreshold leakage current for VLSI circuits. IEEE Trans Very Large Scale Integr. Syst. 12(2), 131–139 (2004)

    Article  Google Scholar 

  99. M. Miyazaki, G. Ono, T. Hattori, K. Shiozawa, K. Uchiyama, K. Ishibashi, A 1000-MIPS/w microprocessor using speed adaptive threshold-voltage CMOS with forward bias, in Proceedings of the International Solid-State Circuits Conference ISSCC, 2000, pp. 420–421

    Google Scholar 

  100. M. Miyazaki, G. Ono, K. Ishibashi, A 1.2-GIPS/w microprocessor using speed-adaptive threshold-voltage CMOS with forward bias. IEEE J. Solid-State Circuits 37(2), 210–217 (2002)

    Article  Google Scholar 

  101. J. Tschanz, J. Kao, S. Narendra, R. Nair, D. Antoniadis, A. Chandrakasan, V. De, Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage. IEEE J. Solid-State Circuits 37(11), 1396–1402 (2002)

    Article  Google Scholar 

  102. J. Tschanz, S. Narendra, R. Nair, V. De, Effectiveness of adaptive supply voltage and body bias for reducing impact of parameter variations in low power and high performance microprocessors. IEEE J. Solid-State Circuits 38(5), 826–829 (2003)

    Article  Google Scholar 

  103. Y. Komatsu, K. Ishibashi, M. Yamamoto, T. Tsukada, K. Shimazaki, M. Fukazawa, M. Nagata, Substrate-noise and random-fluctuations reduction with self-adjusted forward body bias, in Proceedings of IEEE Custom Integrated Circuits conference, 2005, pp. 35–38

    Google Scholar 

  104. C. Kim, K. Roy, S. Hsu, A. Alvandpour, R. Krishnamurthy, S. Borkar, A process variation compensating technique for sub-90 nm dynamic circuits, in Proceedings of IEEE Symposium on VLSI Circuits, 2003, pp. 205–206

    Google Scholar 

  105. C. Kim, S. Hsu, R. Krishnamurthy, S. Borkar, K. Roy, Self calibrating circuit design for variation tolerant VLSI systems, in IOLTS 2005 11th IEEE International On-Line Testing Symposium, 2005, pp. 100–105

    Google Scholar 

  106. K. Bowman, S. Duvall, J. Meindl, Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution, in Proceedings of the International Solid-State Circuits Conference ISSCC, 2001, pp. 278–279

    Google Scholar 

  107. K. Bowman, J. Meindl, Impact of within-die parameter fluctuations on future maximum clock frequency distributions, in Proceedings of IEEE Custom Integrated Circuits Conference, 2001, pp. 229–232

    Google Scholar 

  108. D. Marculescu, E. Talpes, Variability and energy awareness: a microarchitecture-level perspective, in DAC ’05: Proceedings of the 42nd Annual Conference on Design Automation, 2005, pp. 11–16

    Google Scholar 

  109. D. Marculescu, E. Talpes, Energy awareness and uncertainty in microarchitecture-level design. IEEE Micro. 25(5), 64–76 (2005)

    Google Scholar 

  110. A. Datta, S. Bhunia, S. Mukhopadhyay, N. Banerjee, K. Roy, Statistical modeling of pipeline delay and design of pipeline under process variation to enhance yield in sub-100 nm technologies, in DATE ’05: Proceedings of the Conference on Design, Automation and Test in Europe, 2005, pp. 926–931

    Google Scholar 

  111. N. Azizi, M.M. Khellah, V. De, F.N. Najm, Variations-aware low-power design with voltage scaling, in DAC ’05: Proceedings of the 42nd Annual Conference on Design Automation, 2005, pp. 529–534

    Google Scholar 

  112. E. Humenay, D. Tarjan, K. Skadron, Impact of parameter variations on multi-core chips, in Proceedings of the 2006 Workshop on Architectural Support for Gigascale Integration, in Conjunction with the 33rd International Symposium on Computer Architecture (ISCA), June 2006

    Google Scholar 

  113. E. Humenay, W. Huang, M.R. Stan, K. Skadron, Toward an architectural treatment of parameter variations, University of Virginia, Department of Computer Science, Technical Report. CS-2005-16, September 2005

    Google Scholar 

  114. S.H. Choi, B.C. Paul, K. Roy, Novel sizing algorithm for yield improvement under process variation in nanometer technology, in DAC ’04: Proceedings of the 41st Annual Conference on Design Automation, 2004, pp. 454–459

    Google Scholar 

  115. A. Agarwal, K. Chopra, D. Blaauw, Statistical timing based optimization using gate sizing, in DATE ’05: Proceedings of the Conference on Design, Automation and Test in, Europe, 2005, pp. 400–405

    Google Scholar 

  116. F.N. Najm, On the need for statistical timing analysis, in DAC ’05: Proceedings of the 42nd Annual Conference on Design Automation, 2005, pp. 764–765

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Mohamed H. Abu-Rahma .

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Abu-Rahma, M.H., Anis, M. (2013). Variability in Nanometer Technologies and Impact on SRAM. In: Nanometer Variation-Tolerant SRAM. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-1749-1_2

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-1749-1_2

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-1748-4

  • Online ISBN: 978-1-4614-1749-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics