Skip to main content
  • 2837 Accesses

2.17 Conclusion

SystemVerilog provides many new data types and structures so that you can create high-level testbenches without having to worry about the bit-level representation. Queues work well for creating scoreboards where you constantly need to add and remove data. Dynamic arrays allow you to choose the array size at run-time for maximum testbench flexibility. Associative arrays are used for sparse memories and some scoreboards with a single index. Enumerated types make your code easier to read and write by creating groups of named constants.

But don’t go off and create a procedural testbench with just these constructs. Explore the OOP capabilities of SystemVerilog in Chapter 4 to learn how to design code at an even higher level of abstraction, thus creating robust and reusable code.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 99.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

Rights and permissions

Reprints and permissions

Copyright information

© 2006 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

(2006). Data Types. In: Systemverilog for Verification. Springer, Boston, MA. https://doi.org/10.1007/0-387-27038-8_2

Download citation

  • DOI: https://doi.org/10.1007/0-387-27038-8_2

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-0-387-27036-4

  • Online ISBN: 978-0-387-27038-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics