Skip to main content

Introduction to On-Chip Interconnects and Modeling

  • Chapter
  • First Online:
Crosstalk in Modern On-Chip Interconnects

Part of the book series: SpringerBriefs in Applied Sciences and Technology ((BRIEFSAPPLSCIENCES))

  • 627 Accesses

Abstract

This chapter briefs about the challenges associated with the modeling of on-chip interconnects in nanoscale technology. Copper had been used as an on-chip interconnect material for a long time. However, as device dimensions are scale down the reliability decreases due to electromigration induced problems. Therefore, researchers are forced to find an alternative solution for future high-speed global VLSI interconnects. This chapter introduces the evolution of graphene interconnect materials and the challenges associated with them. This chapter also introduces the FDTD technique for the modeling of on-chip interconnects.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 16.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Similar content being viewed by others

References

  1. Rabaey JM, Chandrakasan A, Nikolic B (2003) Digital integrated circuits: a design perspective, 2nd ed. Prentice-Hall

    Google Scholar 

  2. Goel AK (2007) High-speed VLSI interconnections, 2nd ed. Wiley-IEEE Press

    Google Scholar 

  3. Rabindra K, Srivastava P, Sharma GK (2010) Network-on-chip: on-chip communication solution. Int Rev Comput Softw 5(1):22–33

    Google Scholar 

  4. Agarwal K, Sylvester D, Blaauw D (2006) Modeling and analysis of crosstalk noise in coupled RLC interconnects. IEEE Trans Comput Aided Des Integr Circuits Syst 25(5):892–901

    Article  Google Scholar 

  5. Sahoo M, Ghosal P, Rahaman H (2015) Modeling and analysis of crosstalk induced effects in multiwalled carbon nanotube bundle interconnects: an ABCD parameter-based approach. IEEE Trans Nanotechnol 14(2):259–274

    Article  Google Scholar 

  6. Kumar VR, Majumder MK, Kaushik BK (2014) Graphene based on-chip interconnects and TSVs—prospects and challenges. IEEE Nanatechnol Mag 8(4):14–20

    Article  Google Scholar 

  7. Zhang J, Friedman EG (2006) Crosstalk modeling for coupled RLC interconnects with application to shield insertion. IEEE Trans VLSI Syst 14(6):641–646

    Article  Google Scholar 

  8. Kaushik BK, Majumder MK, Kumar VR (2014) Carbon nanotube based 3-D interconnects—a reality or a distant dream. IEEE Circuits Syst Mag 14(4):16–35

    Article  Google Scholar 

  9. Srivastava A, Xu Y, Sharma AK (2010) Carbon nanotubes for next generation very large scale integration interconnects. J Nanophotonics 4(1):1–26

    Article  Google Scholar 

  10. Javey A, Kong J (2009) Carbon nanotube electronics. Springer, Berlin

    Google Scholar 

  11. Xu Y (2011) Carbon nanotube interconnect modeling for very large scale integrated circuits. PhD Dissertation, Louisiana State University, USA

    Google Scholar 

  12. Das D, Rahaman H (2011) Analysis of crosstalk in single- and multiwall carbon nanotube interconnects and its impact on gate oxide reliability. IEEE Trans Nanotechnol 10(6):1362–1370

    Article  Google Scholar 

  13. Tamburrano A, D’Aloia AG, Sarto MS (2012) Bundles of multiwall carbon nanotube interconnects: RF crosstalk analysis by equivalent circuits. In: Proceedings of the IEEE international symposium on electromagnetic compatibility (EMC), Pittsburgh, pp 434–439

    Google Scholar 

  14. Plombon JJ (2007) High-frequency electrical properties of individual and bundled carbon nanotubes. Appl Phys Lett 90(6):063106-1–063106-3

    Google Scholar 

  15. Xu Y, Srivastava A (2009) A model for carbon nanotube interconnects. Int J Circuit Theory Appl 38(6):559–575

    MATH  Google Scholar 

  16. Berber S, Kwon YK, Tomanek D (2000) Unusually high thermal conductivity of carbon nanotubes. Phys Rev Lett 84(20):4613–4616

    Article  Google Scholar 

  17. Areshkin DA, Gunlycke D, White CT (2007) Ballistic transport in graphene nanostrips in the presence of disorder: importance of edge effects. Nano Lett 7(1):204–210

    Article  Google Scholar 

  18. Avorious P, Chen Z, Perebeions V (2007) Carbon-based electronics. Nat Nanotechnol 2(10):605–13

    Article  Google Scholar 

  19. Close GF, Wong HSP (2008) Assembly and electrical characterization of multiwall carbon nanotube interconnects. IEEE Trans Nanotechnol 7(5):596–600

    Article  Google Scholar 

  20. Collins PG, Arnold MS, Avouris Ph (2001) Engineering carbon nanotubes and nanotube circuits using electrical breakdown. Science 292(5517):706–709

    Article  Google Scholar 

  21. Liang F, Wang G, Lin H (2012) Modeling of crosstalk effects in multiwall carbon nanotube interconnects. IEEE Trans Electromagn Compat 54(1):133–139

    Article  Google Scholar 

  22. Narasimhamurthy KC, Paily R (2009) Impact of bias voltage on inductance of carbon nanotube interconnects. In: Proceedings of the 22nd international conference on VLSI design, New Delhi, pp 505–510

    Google Scholar 

  23. Majumder MK, Pandya ND, Kaushik BK, Manhas SK (2012) Analysis of MWCNT and bundled SWCNT Interconnects: impact on crosstalk and area. IEEE Electron Device Lett 33(8):1180–1182

    Article  Google Scholar 

  24. Majumder MK, Kaushik BK, Manhas SK (2014) Analysis of delay and dynamic crosstalk in bundled carbon nanotube interconnects. IEEE Trans Electromagn Compat 56(6):1666–1673

    Article  Google Scholar 

  25. Morris JE (2008) The proof is in the nanopacking. IEEE Nanotechnol Mag 2(4):25–27

    Article  Google Scholar 

  26. Li H, Yin WY, Banerjee K, Mao JF (2008) Circuit modeling and performance analysis of multi-walled carbon nanotube interconnects. IEEE Trans Electron Devices 55(6):1328–1337

    Article  Google Scholar 

  27. Murali KH, Brenner K, Yang Y, Beck T, Meindl JD (2009) Resistivity of graphene nanoribbon interconnects. IEEE Electron Device Lett 30(6):611–613

    Article  Google Scholar 

  28. Tanachutiwat S, Liu S, Geer R, Wang W (2009) Monolithic graphene nanoribbon electronics for interconnect performance improvement. In: Proceedings of the IEEE international symposium on circuits and systems. Taipei, pp. 589–592

    Google Scholar 

  29. Naeemi A, Meindl JD (2008) Electron transport modeling for junctions of zigzag and armchair graphene nanoribbons (GNRs). IEEE Electron Device Lett 29(5):497–499

    Article  Google Scholar 

  30. Avouris P (2010) Graphene: electronic and photonic properties and devices. Nano Lett 10(11):4285–4294

    Article  Google Scholar 

  31. Berger C, Song Z, Li X, Wu X, Brown N, Naud C, Mayou D, Li T, Hass J, Marchenkov AN, Conrad EH, First PN, Heer WA (2006) Electronic confinement and coherence in patterned epitaxial graphene. Science 312(5777):1191–1196

    Article  Google Scholar 

  32. Bothra S, Rogers B, Kellam M, Osburn CM (1993) Analysis of the effects of scaling on interconnect delay in ULSI circuits. IEEE Trans Electron Devices 40(3):591–597

    Article  Google Scholar 

  33. Bakoglu HB (1990) Circuits, interconnections and packaging for VLSI. Addison-Wesley Publishing Company, Boston

    Google Scholar 

  34. Ismail YI, Friedman EG (2000) Effects of inductance on the propagation delay and repeater insertion in VLSI circuits. IEEE Trans Very Large Scale Integr VLSI Syst 8(2):195–206

    Article  Google Scholar 

  35. Kaushik BK, Sarkar S (2008) Crosstalk analysis for a CMOS-gate-driven coupled interconnects. IEEE Trans Comput Aided Des Integr Circuits Syst 27(6):1150–1154

    Article  Google Scholar 

  36. Kaushik BK, Sarkar S, Agarwal RP, Joshi RC (2010) An analytical approach to dynamic crosstalk in coupled interconnects. Microelectron J 41(2):85–92

    Article  Google Scholar 

  37. Cui J, Zhao W, Yin W, Hu J (2012) Signal transmission analysis of multilayer graphene nano-ribbon (MLGNR) interconnects. IEEE Trans Electromagn Compat 54(1):126–132

    Article  Google Scholar 

  38. Paul CR (2008) Analysis of multiconductor transmission lines. IEEE Press

    Google Scholar 

  39. Paul CR (1994) Incorporation of terminal constraints in the FDTD analysis of transmission lines. IEEE Trans Electromagn Compat 36(2):85–91

    Article  Google Scholar 

  40. Paul CR (1996) Decoupling the multi conductor transmission line equations. IEEE Trans Microw Theory Tech 44(8):1429–1440

    Article  Google Scholar 

  41. Orlandi A, Paul CR (1996) FDTD analysis of lossy, multiconductor transmission lines terminated in arbitrary loads. IEEE Trans Electromagn Compat 38(3):388–399

    Article  Google Scholar 

  42. Li XC, Ma JF, Swaminathan M (2011) Transient analysis of CMOS gate driven RLGC interconnects based on FDTD. IEEE Trans Comput Aided Des Integr Circuits Syst 30(4):574–583

    Article  Google Scholar 

  43. Livshits P, Sofer S (2012) Aggravated electromigration of copper interconnection lines in ULSI devices due to crosstalk noise. IEEE Trans Device Mater Reliab 12(2):341–346

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Brajesh Kumar Kaushik .

Rights and permissions

Reprints and permissions

Copyright information

© 2016 The Author(s)

About this chapter

Cite this chapter

Kaushik, B.K., Kumar, V.R., Patnaik, A. (2016). Introduction to On-Chip Interconnects and Modeling. In: Crosstalk in Modern On-Chip Interconnects. SpringerBriefs in Applied Sciences and Technology. Springer, Singapore. https://doi.org/10.1007/978-981-10-0800-9_1

Download citation

  • DOI: https://doi.org/10.1007/978-981-10-0800-9_1

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-10-0799-6

  • Online ISBN: 978-981-10-0800-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics