Skip to main content

Analysis and Optimization of Prediction-Based Flow Control in Networks-on-Chip

  • Chapter
  • First Online:
Modeling, Analysis and Optimization of Network-on-Chip Communication Architectures

Part of the book series: Lecture Notes in Electrical Engineering ((LNEE,volume 184))

Abstract

While networks-on-Chip (NoC) architectures may offer higher bandwidth compared to traditional bus-based communication, their performance can degrade significantly in the absence of effective flow control algorithms. This chapter presents a predictive closed-loop flow control mechanism, which is used to predict the congestion level in the network. Based on this information, the proposed scheme controls the packet injection rate at traffic sources in order to regulate the total number of packets in the network. Finally, simulations and experimental study using our FPGA prototype show that the proposed controller delivers a better performance compared to the traditional switch-to-switch flow control algorithms under various real and synthetic traffic patterns.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    This function is also referred as congestion control. However, following the convention in [3] and [9], we do not make such a distinction.

  2. 2.

    A similar model for the output buffers can be also developed.

  3. 3.

    Under the hotspot traffic, the nodes in the network receive packets with uniform probability, except a few (in our experiments 4) randomly selected nodes that receive some extra traffic.

  4. 4.

    Note that the local memory in the host PE is not part of the router. The 100-flit local buffer is used to emphasize that (i) its size is finite and (ii) PEs sense the backpressure from the network for the switch-to-switch flow control.

References

  1. Adriahantenaina A, Greiner A (2003) Micro-network for SoC: implementation of a 32-Port SPIN network. In: Proceedings of design, automation and test in Europe conference, March 2003

    Google Scholar 

  2. Baydal E, Lopez P, Duato J (2005) A family of mechanisms for congestion control in wormhole networks. IEEE Trans Parallel Distrib Syst 16(9):772–784

    Google Scholar 

  3. Bertsekas D, Gallager R (1992) Data networks. Prentice Hall, Upper Saddle River

    Google Scholar 

  4. Chien AA (1998) A cost and speed model for k-ary n-cube wormhole routers. IEEE Trans Parallel Distrib Syst 9(2):150–162

    Article  Google Scholar 

  5. Dally WJ, Towles B (2004) Principles and practices of interconnection networks. Morgan Kaufmann, San Fransisco

    Google Scholar 

  6. Dally WJ (1992) Virtual-channel flow control. IEEE Trans Parallel Distrib Syst 3(2):194–205

    Article  Google Scholar 

  7. Dally WJ, Towles B (2001), Route packets, not wires: on-chip interconnection networks. In: Proceedings of design automation conference, June 2001

    Google Scholar 

  8. Duato J, Yalamanchili S, Ni L (2002) Interconnection networks: an engineering approach. Morgan Kaufmann, San Mateo

    Google Scholar 

  9. Gerla M, Kleinrock L (1980) Flow control: a comparative survey. IEEE Trans Commun 28(4):553–574

    Article  Google Scholar 

  10. Harmanci M, Escudero N, Leblebici Y, Ienne P (2004) Providing QoS to connection-less packet-switched NoC by implementing DiffServ functionalities. In: Proceedings of international symposium on system-on-chip, November 2004

    Google Scholar 

  11. Harmanci M, Escudero N, Leblebici Y, Ienne P (2005) Quantitative modeling and comparison of communication schemes to guarantee quality-of-service in networks-on-chip. In: Proceedings of the international symposium on circuits and systems, May 2005

    Google Scholar 

  12. Hedetniemi SM, Hedetniemi ST, Liestman AL (1988) A survey of gossiping and broadcasting in communication networks. Networks 18(4):319–349

    Article  MathSciNet  MATH  Google Scholar 

  13. Hu J, Marculescu R (2005) Energy- and performance-aware mapping for regular NoC architectures. IEEE Trans Comput Aided Des Integr Circ Syst 24(4):551–562

    Article  Google Scholar 

  14. Hyatt C, Agrawal DP (1997) Congestion control in the wormhole-routed torus with clustering and delayed deflection. In: Proceedings of parallel computing, routing and communication workshop

    Google Scholar 

  15. Jalabert A, Murali S, Benini L, De Micheli G (2004) XpipesCompiler: a tool for instantiating application specific networks on chip. In: Proceedings of design, automation and test in Europe conference, February 2004

    Google Scholar 

  16. Lee HG, Chang N, Ogras UY, Marculescu R (2007) On-chip communication architecture exploration: a quantitative evaluation of point-to-point, bus and network-on-chip approaches. ACM Trans Des Autom Electron Syst 12(3):23

    Google Scholar 

  17. Lopez P, Martinez JM, Duato J (1998) DRIL: dynamically reduced message injection limitation mechanism for wormhole networks. In: Proceedings of international conference parallel processing, August 1998

    Google Scholar 

  18. Mendel JM (1995) Lessons in estimation theory for signal processing, communications, and control. Prentice-Hall, Upper Saddle River

    MATH  Google Scholar 

  19. Millberg M, Nilsson E, Thid R, Jantsch A (2004) Guaranteed bandwidth using looped containers in temporally disjoint networks within the Nostrum network on chip. In: Proceedings of design, automation and test in Europe conference, February 2004

    Google Scholar 

  20. Murali S, Benini L, De Micheli G (2005) Mapping and physical planning of networks on chip architectures with quality-of-service guarantees. In: Proceedings of Asia and South Pacific design automation conference, January 2005

    Google Scholar 

  21. Nilsson E, Millberg M, Oberg J, Jantsch A (2003) Load distribution with the proximity congestion awareness in a network on chip. In: Proceedings of design, automation and test in Europe conference, March 2003

    Google Scholar 

  22. Paganini F, Doyle J, Low S (2001) Scalable laws for stable network congestion control. In: Proceedings of IEEE conference on decision and control, December 2001

    Google Scholar 

  23. Park K, Willinger W (eds) (2000) Self-similar network traffic and performance evaluation. Wiley, New York

    Google Scholar 

  24. Peh L, Dally WJ (2001) A delay model for router micro-architectures. IEEE Micro 21(1):26–34

    Google Scholar 

  25. Pullini A, Angiolini F, Bertozzi D, Benini L (2005) Fault tolerance overhead in network-on-chip flow control schemes. In: Proceedings of symposium on integrated circuits and system design, September 2005

    Google Scholar 

  26. Qiu D, Shro BN (2004) A predictive flow control mechanism to provide QoS and efficient network utilization. IEEE Trans Network 12(1):73–84

    Google Scholar 

  27. Radulescu A et al (2005) An efficient on-chip ni offering guaranteed services, shared-memory abstraction, and flexible network configuration. IEEE Trans Comput Aided Des Integr Circ Syst 24(1):4–17

    Article  Google Scholar 

  28. Smai A, Thorelli L (1998) Global reactive congestion control in multicomputer networks. In: Proceedings of the fifth international conference on high performance computing , December 1998

    Google Scholar 

  29. Thottethodi M, Lebeck AR, Mukherjee SS (2001) Self-tuned congestion control for multiprocessor networks. In: Proceedings of the 7th international symposium on high-performance computer architecture, January 2001

    Google Scholar 

  30. Varatkar G, Marculescu R (2004) On-chip traffic modeling and synthesis for MPEG-2 video applications. IEEE Trans VLSI 12(1):108–119

    Article  Google Scholar 

  31. Zeferino CA, Santo FME, Susin AA (2004) Paris: a parameterizable interconnect switch for networks-on-chip. In: Proceedings of symposium on integrated circuits and systems design, September 2004

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Umit Y. Ogras .

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Ogras, U.Y., Marculescu, R. (2013). Analysis and Optimization of Prediction-Based Flow Control in Networks-on-Chip. In: Modeling, Analysis and Optimization of Network-on-Chip Communication Architectures. Lecture Notes in Electrical Engineering, vol 184. Springer, Dordrecht. https://doi.org/10.1007/978-94-007-3958-1_7

Download citation

  • DOI: https://doi.org/10.1007/978-94-007-3958-1_7

  • Published:

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-94-007-3957-4

  • Online ISBN: 978-94-007-3958-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics