Skip to main content

Static Timing Analysis

  • Chapter
  • First Online:
Digital Logic Design Using Verilog
  • 6907 Accesses

Abstract

Static timing analysis (STA) is used for the timing checks for any ASIC designs. The objective of this chapter is to discuss in detail STA concepts used by the timing analyzer. This chapter discusses about the register timing parameters and their use in the frequency calculations. The positive clock skew and negative clock skew are also discussed in detail with the practical scenario. This chapter also focuses on the different timing paths and SDC commands and their use while writing the script. The solutions and techniques to fix the setup and hold violations are also discussed for the better understanding of the engineers. Even the timing exceptions like false and multicycle paths are covered with the practical scenario.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 109.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 139.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 199.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Reference

  1. Synopsys Timing Constraints and Optimization User Guide, version D-2010.03

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Vaibbhav Taraate .

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer India

About this chapter

Cite this chapter

Taraate, V. (2016). Static Timing Analysis. In: Digital Logic Design Using Verilog. Springer, New Delhi. https://doi.org/10.1007/978-81-322-2791-5_11

Download citation

  • DOI: https://doi.org/10.1007/978-81-322-2791-5_11

  • Published:

  • Publisher Name: Springer, New Delhi

  • Print ISBN: 978-81-322-2789-2

  • Online ISBN: 978-81-322-2791-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics