Skip to main content

Part of the book series: Lecture Notes in Electrical Engineering ((LNEE,volume 104))

Abstract

RF analog circuits are characterised by the use of relatively simple schemes. This is due to the fact that each component adds some kind of parasitic effects, therefore the design always follows the rule of “less is more”. For this reason, most of power saving techniques widely applied in base band or digital circuits is not suitable for high frequency applications. Chapter 5 outlines the most useful alternatives. In this chapter the general principles are presented, and many references to other works are also included. In order to help the reader to fully understand the impact of these techniques, basic simulations and comparisons are included. The practical application of these techniques is presented in subsequent chapters.

Section 5.1 starts by describing one of the design techniques that has been widely utilised within the examples presented in this book: the current reuse principle. On the other hand, section 5.2 deals with the multi-VDD principle. Sections 5.3 and 5.4 are devoted to the power gating and multiple channel length techniques respectively. Finaly, gate biasing is discussed in section 5.5.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Abidi, A.A.: High-Frequency Noise Measurements on FETs with Small Dimensions. IEEE Transactions on Electron Devices 33, 1801 (1986)

    Article  Google Scholar 

  2. Ali, N.B.Z., et al.: Delay fault modelling/simulation using VHDL-AMS in multi-Vdd systems. In: 26th International Conference on Microelectronics, MIEL 2008, May 11-14, pp. 413–416 (2008)

    Google Scholar 

  3. Alvarado, U., et al.: Low Frequency Noise Optimisation in Gilbert-Cell Based Mixers for Direct Conversion (Zero-IF) Receivers. Microwave and Optical Technology Letters 50(12), 3128 (2008)

    Article  Google Scholar 

  4. Alvarado, U., et al.: Low Frequency Noise Analysis and Minimization in Gilbert-Cell Based Mixers for Direct Conversion (Zero-IF) Low-Power Front-Ends. International Journal of Circuit Theory and Applications 38(2) (March 2010)

    Google Scholar 

  5. Bol, D., et al.: Impact of Technology Scaling on Digital Subthreshold Circuits. In: IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2008, April 7-9, pp. 179–184 (2008)

    Google Scholar 

  6. Chandrashakar, K., Bakkaloglu, B.: A 10b 50MS/s Opamp-Sharing Pipeline A/D With Current-Reuse OTAs. In: IEEE Custom Intergrated Circuits Conference, CICC (2009)

    Google Scholar 

  7. Chandrakasan, A.P., et al.: Low-power CMOS digital design. IEEE Journal of Solid-State Circuits 27(4), 473–484 (1992)

    Article  Google Scholar 

  8. Cheng, K.-W., et al.: A 7.2mW Quadrature GPS Receiver in 0.13μm CMOS. In: IEEE International Solid State Circuits Conference, ISSCC (2009)

    Google Scholar 

  9. Craninckx, J., Steyaert, M.: Wireless CMOS Frequency Synthesizer Design. Kluwer Academic Publishers, Norwell (1998)

    MATH  Google Scholar 

  10. Chen, D., et al.: Optimality study of resource binding with multi-Vdds. In: 43rd ACM/IEEE Design Automation Conference (2006)

    Google Scholar 

  11. Chung, S., et al.: Asymmetric multilevel outphasing architecture for multi-standard transmitters. In: Radio Frequency Integrated Circuits Symposium, RFIC 2009, June 7-9, pp. 237–240. IEEE, Los Alamitos (2009)

    Chapter  Google Scholar 

  12. Comer, D.J., Comer, D.T.: Operation of analog MOS circuits in the weak or moderate inversion region. IEEE Transactions on Education 47(4) (2004)

    Google Scholar 

  13. Dhillon, Y.S., et al.: Algorithm for achieving minimum energy consumption in CMOS circuits using multiple supply and threshold voltages at the module level. In: International Conference on Computer Aided Design, ICCAD 2003, November 9-13, pp. 693–700 (2003)

    Google Scholar 

  14. Feng, G., Hayes, J.P.: Total power reduction in CMOS circuits via gate sizing and multiple threshold voltages. In: Proceedings of 42nd Design Automation Conference, June 13-17, pp. 31–36 (2005)

    Google Scholar 

  15. Gandhi, K.R., Mahapatra, N.R.: Exploiting Data-Dependent Slack Using Dynamic Multi-VDD to Minimize Energy Consumption in Datapath Circuits. In: Proceedings of Design, Automation and Test in Europe, DATE 2006, March 6-10, pp. 1–6 (2006)

    Google Scholar 

  16. Gatta, F., et al.: A 2dB Noise figureure. 900-MHz Differential CMOS LNA. IEEE Journal of Solid State Citcuits 36, 1444 (2001)

    Article  Google Scholar 

  17. Ghai, D., et al.: A Dual Oxide CMOS Universal Voltage Converter for Power Management in Multi-VDD SoCs. In: 9th International Symposium on Quality Electronic Design, ISQED 2008, March 17-19, pp. 257–260 (2008)

    Google Scholar 

  18. Gupta, P., et al.: Gate-length biasing for runtime-leakage control. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 25(8), 1475–1485 (2006)

    Article  Google Scholar 

  19. Jiang, H., et al.: Benefits and costs of power-gating technique. In: Proceedings of 2005 IEEE International Conference on Computer Design: VLSI in Computers and Processors, ICCD 2005, October 2-5, pp. 559–566 (2005)

    Google Scholar 

  20. Hampel, S.K., et al.: Inductorless 1-10.5 GHz Wideband LNA for Multistandard Applications. In: IEEE Asian Solid-State Circuits Conference (November 2009)

    Google Scholar 

  21. Harrison, R.R., Charles, C.: A Low-Power Low-Noise CMOS Amplifier for Neural Recording Applications. IEEE Journal of Solid-State Circuits 38(6), 958–965 (2003)

    Article  Google Scholar 

  22. Ho, C.C., et al.: A 2.4 GHz low phase noise VCO fabricated by 0.18μm pMOS technologies. In: Proc. of IEEE Int. Symp. VLSI Tech., p. 144 (2003)

    Google Scholar 

  23. Hobbs, J.S., Williams, T.W.: Reaching the limits of low power design. In: Asia and South Pacific Design Automation Conference, ASPDAC 2008, March 21-24, pp. 732–735 (2008)

    Google Scholar 

  24. Hsieh, W.-C., Hwang, W.: Adaptive Power Control Technique on Power-Gated Circuitries. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 99, 1–14 (2010)

    Google Scholar 

  25. Hsieh, H.-H., Chen, H.-S., Hung, P.-H., Lu, L.-H.: Experimental 5-GHz RF Frontends for Ultra-Low-Voltage and Ultra-Low-Power Operations. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 19(4), 705–709 (2011)

    Article  Google Scholar 

  26. Huaizhi, W., Wong, M.D.F.: Improving Voltage Assignment by Outlier Detection and Incremental Placement. In: 44th ACM/IEEE Design Automation Conference, DAC 2007, June 4-8, pp. 459–464 (2007)

    Google Scholar 

  27. Huaizhi, W., et al.: Timing-constrained and voltage-island-aware voltage assignment. In: 43rd ACM/IEEE Design Automation Conference, pp. 429–432 (2006)

    Google Scholar 

  28. Huaizhi, W., Wong, M.D.F.: Incremental Improvement of Voltage Assignment. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 28(2), 217–230 (2009)

    Article  Google Scholar 

  29. Ishida, K., et al.: Subthreshold-leakage suppressed switched capacitor circuit based on super cut-off CMOS (SCCMOS). In: IEEE International Symposium on Circuits and Systems, ISCAS 2005, May 23-26, vol. 4, pp. 3119–3122 (2005)

    Google Scholar 

  30. Kawaguchi, H., et al.: A Super Cut-Off CMOS (SCCMOS) Scheme for 0.5-V Supply Voltage with Picoampere Stand-By Current. IEEE Journal of Solid-State Circuits 35(10), 1498–1500 (2000)

    Article  Google Scholar 

  31. Karimi, Z., Sarrafzadeh, M.: Fine-grained post placement voltage assignment considering level shifter overhead. In: 2010 18th IEEE/IFIP VLSI System on Chip Conference (VLSI-SoC), September 27-29, pp. 73–78 (2010)

    Google Scholar 

  32. Khalek, F., Yusoff, Z., Sulaiman, M.-S.: Low Power Techniques for a Mixed-Signal Circuit. In: International Symposium on Integrated Circuits, ISIC 2007, September 26-28, pp. 73–76 (2007)

    Google Scholar 

  33. Khan, A., et al.: A 90-nm Power Optimization Methodology With Application to the ARM 1136JF-S Microprocessor. IEEE Journal of Solid-State Circuits 41(8), 1707–1717 (2006)

    Article  Google Scholar 

  34. Karanicolas, A.C.: A 2.7 V 900 MHz CMOS LNA and mixer. IEEE Journal of Solid State Circuits 31, 50 (1996)

    Article  Google Scholar 

  35. Kulkarni, S.H., Sylvester, D.: Fast and energy-efficient asynchronous level converters for multi-VDD design [CMOS ICs]. In: Proceedings of the IEEE International SOC Conference [Systems-on-Chip], September 17-20, pp. 169–172 (2003)

    Google Scholar 

  36. Lee, J., Potts, J., Spears, E.: DC/DC converter controlled power amplifier module for WCDMA applications. In: 2006 IEEE Radio Frequency Integrated Circuits (RFIC) Symposium, June 11-13, p. 4 (2006)

    Google Scholar 

  37. Lee, S.G., Choi, J.K.: Current-Reuse Bleeding Mixer. Electronic Letters 36(8), 696 (2000)

    Article  Google Scholar 

  38. Lee, T.H.: The Design of CMOS Radio Frequency Integrated Circuits. Cambridge University Press, Cambridge (1998)

    Google Scholar 

  39. Lee, M.-C., et al.: An efficient wakeup scheduling considering resource constraint for sensor-based power gating designs. In: IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers, ICCAD 2009, November 2-5, pp. 457–460 (2009)

    Google Scholar 

  40. Li, M., et al.: A single-chip 2.4GHz double cascode power amplifier with switched programmable feedback biasing under multiple supply voltages in 65nm CMOS for WLAN application. In: 2010 IEEE Radio Frequency Integrated Circuits Symposium (RFIC), May 23-25, pp. 391–394 (2010)

    Google Scholar 

  41. Liscidini, A., et al.: Single-Stage Low-Power Quadrature RF Receiver Front-End: The LMV Cell. IEEE Journal of Solid State Circuits 41(12), 2832 (2006)

    Article  Google Scholar 

  42. Makino, H., et al.: An auto-backgate-controlled MT-CMOS circuit. In: 1998 Symposium on VLSI Circuits, Digest of Technical Papers, June 11-13, pp. 42–43 (1998)

    Google Scholar 

  43. Meng, L.K., et al.: A 2.4GHz Ultra Low Power Subthreshold CMOS Low-Noise Amplifier. Microwave and Optical Technology Letters 49, 743 (2007)

    Article  Google Scholar 

  44. Mutoh, S., et al.: 1-V power supply high-speed digital circuit technology with multithreshold-voltage CMOS. IEEE Journal of Solid-State Circuits 30(8), 847–854 (1995)

    Article  Google Scholar 

  45. Okano, H., et al.: Supply Voltage Adjustment Technique for Low Power Consumption and Its Application to SOCs with Multiple Threshold Voltage CMOS. In: 2006 Symposium on VLSI Circuits, Digest of Technical Papers, pp. 208–209 (2006)

    Google Scholar 

  46. Panic, G., et al.: Architecture of a Power-Gated Wireless Sensor Node. In: 11th EUROMICRO Conference on Digital System Design Architectures, Methods and Tools, DSD 2008, September 3-5, pp. 844–849 (2008)

    Google Scholar 

  47. Panic, G., et al.: Power gating in wireless sensor networks. In: 3rd International Symposium on Wireless Pervasive Computing, ISWPC 2008, May 7-9, pp. 499–503 (2008)

    Google Scholar 

  48. Pasha, M.A., et al.: A novel approach for ultra low-power WSN node generation. In: Signals and Systems Conference (ISSC 2010), IET Irish, June 23-24, pp. 204–209 (2010)

    Google Scholar 

  49. Quemada, C., et al.: Design Methodology for RF CMOS Phase Locked Loops. Artech House, Boston (2009)

    Google Scholar 

  50. Huang, P., et al.: A brief survey on power gating design. In: 2010 10th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT), November 1-4, pp. 788–790 (2010)

    Google Scholar 

  51. Razavi, B.: “Design of Analog CMOS Integrated Circuits. McGraw-Hill, New York (2001)

    Google Scholar 

  52. Rippke, I.A., et al.: A single-chip variable supply voltage power amplifier. In: 2005 IEEE Radio Frequency integrated Circuits (RFIC) Symposium, Digest of Papers, June 12-14, pp. 255–258 (2005)

    Google Scholar 

  53. Ryu, S., et al.: A 10-bit 50-MS/s Pipelined ADC With Opamp Current Reuse. IEEE Journal of Solid State Circuits 42(3), 475 (2007)

    Article  Google Scholar 

  54. Sedra, A.S., Smith, K.C.: Microelectronic Circuits. Oxford University Press, Oxford (2004)

    Google Scholar 

  55. Sengupta, D., Saleh, R.: Constraint-based voltage island partitioning. In: IEEE Northeast Workshop on Circuits and Systems, NEWCAS 2007, August 5-8, pp. 1050–1053 (2007)

    Google Scholar 

  56. Shibata, N., et al.: A High-Speed Low-Power Multi-VDD CMOS/SIMOX SRAM With LV-TTL Level Input/Output Pins—Write/Read Assist Techniques for 1-V Operated Memory Cells. IEEE Journal of Solid-State Circuits 45(9), 1856–1869 (2010)

    Article  Google Scholar 

  57. Sirisantana, N., et al.: High-performance low-power CMOS circuits using multiple channel length and multiple oxide thickness. In: Proceedings of the 2000 International Conference on Computer Design, pp. 227–232 (2000)

    Google Scholar 

  58. Sirisantana, N., et al.: Low-power design using multiple channel lengths and oxide thicknesses. IEEE Design & Test of Computers 21(1), 56–63 (2004)

    Article  Google Scholar 

  59. Sjalander, M., et al.: A low-leakage twin-precision multiplier using reconfigurable power gating. In: IEEE International Symposium on Circuits and Systems, ISCAS 2005, May 23-26, vol. 2, pp. 1654–1657 (2005)

    Google Scholar 

  60. Sjöland, H., et al.: A Merged CMOS LNA and Mixer for a WCDMA Receiver. IEEE Journal of Solid State Circuits 38(6), 1045 (2003)

    Article  Google Scholar 

  61. Sylvester, D., Kaul, H.: Future performance challenges in nanometer design. In: Proceedings of Design Automation Conference, pp. 3–8 (2001)

    Google Scholar 

  62. Taris, T., et al.: Current reuse topology in UWB CMOS LNA. Analog Integrated Circuits and Signal Processing, 149 (online, 2009)

    Google Scholar 

  63. Tawfik, S.A., Kursun, V.: Multi-Vth Level Conversion Circuits for Multi-VDD Systems. In: IEEE International Symposium on Circuits and Systems, ISCAS 2007, May 27-30, pp. 1397–1400 (2007)

    Google Scholar 

  64. Tsividis, Y.: Operation and Modeling of the MOS Transistor, 2nd edn. McGraw-Hill, Boston (1998)

    Google Scholar 

  65. Valentian, A., Beigne, E.: Automatic Gate Biasing of an SCCMOS Power Switch Achieving Maximum Leakage Reduction and Lowering Leakage Current Variability. IEEE Journal of Solid-State Circuits 43(7) (July 2008)

    Google Scholar 

  66. Vidojkovic, V., et al.: A Low-Voltage Folded-Switching Mixer in 0.18-μm CMOS. IEEE Journal of Solid State Circuits 40(6) (June 2005)

    Google Scholar 

  67. Vittoz, E., Fellrath, J.: CMOS analog integrated circuits based on weak inversion operations. IEEE Journal of Solid-State Circuits 12(3), 224–231 (1977)

    Article  Google Scholar 

  68. Vittoz, A.: Weak inversion in analog and digital circuits. In: CCCD Workshop (2003)

    Google Scholar 

  69. Walling, J.S., et al.: Multiple supply (class-G) linear modulator and PA for non-CE modulation. In: Radio Frequency Integrated Circuits Symposium, RFIC 2009, June 7-9, pp. 587–590. IEEE, Los Alamitos (2009)

    Chapter  Google Scholar 

  70. Wang, J., et al.: Design of STR level converters for SoCs using the multi-island dual-VDD design technique. In: Proceedings of 2006 IEEE International Symposium on Circuits and Systems, ISCAS 2006 (2006)

    Google Scholar 

  71. Wong, M.D.F.: Low power design with multi-Vdd and voltage islands (Abstract). In: 7th International Conference on ASIC, ASICON 2007, October 22-25, pp. 13–25 (2007)

    Google Scholar 

  72. Wang, Z., et al.: An energy-efficient ASIC with real-time work-on-demand for wireless body sensor network. In: IEEE International Conference on Electron Devices and Solid-State Circuits, EDSSC 2008, December 8-10, pp. 1–6 (2008)

    Google Scholar 

Download references

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer-Verlag Berlin Heidelberg

About this chapter

Cite this chapter

Alvarado, U., Bistué, G., Adín, I. (2011). Schematic Design Techniques for Power Saving in RF. In: Low Power RF Circuit Design in Standard CMOS Technology. Lecture Notes in Electrical Engineering, vol 104. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-22987-9_5

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-22987-9_5

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-22986-2

  • Online ISBN: 978-3-642-22987-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics