Skip to main content

Part of the book series: eXamen.press ((EXAMEN))

  • 3797 Accesses

Auszug

Der enorme Fortschritt von VLSI-Technologie und CAD-Werkzeugen zur automatisierten Logik- und Architektursynthese hat es ermöglicht, die Entwurfszeiten (engl. time-to-market) neuer Produkte drastisch zu reduzieren. Folglich können komplexere Produkte in kürzerer Zeit auf den Markt gebracht werden. Gleichermaßen lässt sich eine Verschiebung der Forschungsschwerpunkte bei der Entwurfsautomatisierung dahingehend feststellen, dass man versucht, in der Automatisierung einen Schritt höher zu beginnen, nämlich auf der Systemebene (engl. ESL - electronic system level, Abb. 8.1).

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 44.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

8.7 Zusammenfassung und Literaturhinweise

  1. Abraham, S. G. und B. R. Rau: Efficient Design Space Exploration in PICO. In: Proc. of the International Conference on Compilers, Architectures, and Synthesis for Embedded Systems, Seiten 71–79, San Jose, U.S.A., November 2000.

    Google Scholar 

  2. Ascia, G., V. Catania und M. Palesi: A Framework for Design Space Exploration of Parameterized VLSI Systems. In: Proc. of the 15th International Conference on VLSI Design, Seiten 245–250, Bangalore, India, Januar 2002.

    Google Scholar 

  3. Ascia, G., V. Catania und M. Palesi: Design Space Exploration Methodologies for IP-Based System-on-a-Chip. In: IEEE International Symposium on Circuits and Systems, Seiten 364–367, Scottsdale, Arizona, Mai 2002.

    Google Scholar 

  4. Balarin, F., M. Chiodo, P. Giusto, H. Hsieh, A. Jurecska, L. Lavagno, C. Passerone, A. Sangiovanni-Vincentelli, E. Sentovich, K. Suzuki und B. Tabbara: Hardware-Software Co-Design of Embedded Systems: The Polis Approach. Kluwer Academic Publishers, Boston, MA, Juni 1997.

    MATH  Google Scholar 

  5. Bleuler, S., M. Laumanns, L. Thiele und E. Zitzler: PISA-A Platform and Programming Language Independent Interface for Search Algorithms. In: Lecture Notes in Computer Science (LNCS), Band 2632, Seiten 494–508, Faro, Protugal, April 2003.

    Google Scholar 

  6. Blickle, T., J. Teich und L. Thiele: System-Level Synthesis Using Evolutionary Algorithms. J. Design Automation for Embedded Systems, 3(1):23–62, Januar 1998.

    Article  Google Scholar 

  7. Buchenrieder, K., A. Sedlmeier und C. Veith: CODES: A Framework for Modeling Heterogeneous Systems. In: Buchenrieder, K. und J. Rozenblit (Herausgeber): Codesign: Computer-Aided Software/Hardware Engineering. IEEE, 1995.

    Google Scholar 

  8. Chiodo, M., P. Giusto, H. Hsieh, A. Jurecska, L. Lavagno und A. Sangiovanni-Vincentelli: Hardware-Software Codesign of Embedded Systems. IEEE Micro, 14(4):26–36, August 1994.

    Article  Google Scholar 

  9. Dick, R. P. und N. K. Jha: CORDS: Hardware-Software Co-Synthesis of Reconfigurable Real-Time Distributed Embedded Systems. In: Proc. of the International Conference on Computer Aided Design, Seiten 62–67, San Jose, California, U.S.A., November 1998.

    Google Scholar 

  10. Dick, R. P. und N. K. Jha: MOGAC: A Multiobjective Genetic Algorithm for Hardware-Software Co-Synthesis of Distributed Embedded Systems. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, 17(10):920–935, Oktober 1998.

    Article  Google Scholar 

  11. Dick, R. P. und N. K. Jha: MOCSYN: Multiobjective Core-Based Single-Chip System Synthesis. In: Proc. of Design, Automation and Test in Europe, Seiten 263–270, Munich, Germany, März 1999.

    Google Scholar 

  12. Eles, P., K. Kuchcinski, Z. Peng, A. Doboli und P. Pop: Scheduling of Conditional Process Graphs for the Synthesisof Embedded Systems. In: Proc. of Design, Automation and Test in Europe, Seiten 132–138, Paris, France, Februar 1998.

    Google Scholar 

  13. Ernst, R., J. Henkel und T. Benner: Hardware-Software Cosynthesis for Microcontrollers. IEEE Design & Test of Computers, 10(4):64–75, Dezember 1994.

    Article  Google Scholar 

  14. Feldmann, R., C. Haubelt, B. Monien und J. Teich: Fault Tolerance Analysis of Distributed Reconfigurable Systems Using SAT-Based Techniques. In: Cheung, Peter Y. K., George A. Constantinides und Jose T. De Sousa (Herausgeber): Field-Programmable Logic and Applications, Lecture Notes in Computer Science (LNCS), Band 2778, Seiten 478–487, Berlin, Heidelberg, September 2003. Springer.

    Google Scholar 

  15. Gandibleux, X., H. Morita und N. Katoh: The Supported Solutions Used as a Genetic Information in a Population Heuristic. In: Proc. of the First International Conference on Evolutionary Multi-Criterion Optimization, Lecture Notes in Computer Science (LNCS), Band 1993, Seiten 429–442, Zurich, Switzerland, März 2001.

    Google Scholar 

  16. Gries, M.: Methods for Evaluating and Covering the Design Space during Early Design Development. INTEGRATION, The VLSI Journal, 38(2):131–183, 2004.

    Article  Google Scholar 

  17. Grötker, T., S. Liao, G. Martin und S. Swan: System Design with SystemC. Kluwer Academic Publishers, Norwell, Massachusetts, Dordrecht, 2002.

    Google Scholar 

  18. Hardt, W. und R. Camposano: Specification Analysis for HW/SW-Partitioning. In: Proc. GI/ITG Workshop Application of Formal Methods during the Design of Hardware Systems, Seiten 1–10, Passau, Germany, März 1995.

    Google Scholar 

  19. Haubelt, C., J. Falk, J. Keinert, T. Schlichter, M. Streubühr, A. Deyhle, A. Hadert und J. Teich: A SystemC-based Design Methodology for Digital Signal Processing Systems. EURASIP Journal on Embedded Systems, Special Issue on Embedded Digital Signal Processing Systems, 2007.

    Google Scholar 

  20. Haubelt, C., J. Gamenik und J. Teich: Initial Population Construction for Convergence Improvement of MOEAs. In: Coello Coello, Carlos A., Arturo Hernández Aguirre und Eckart Zitzler (Herausgeber): Evolutionary Multi-Criterion Optimization, Band 3410 der Reihe Lecture Notes in Computer Science (LNCS), Seiten 191–205, Berlin, Heidelberg, New York, März 2005. Springer.

    Google Scholar 

  21. Haubelt, C., T. Schlichter und J. Teich: Improving Automatic Design Space Exploration by Integrating Symbolic Techniques into Multi-Objective Evolutionary Algorithms. International Journal of Computational Intelligence Research (IJCIR), Special Issue on Multiobjective Optimization and Applications, 2(3):239–254, 2006.

    Google Scholar 

  22. Henkel, J. und R. Ernst: An Approach to Automated Hardware/Software Partitioning Using a Flexible Granularity that is Driven by High-Level Estimation Techniques. IEEE Trans. on Very Large Scale Integration (VLSI) Systems, 9(2):273–289, April 2001.

    Article  Google Scholar 

  23. Ismail, T. B., K. O’Brien und A. A. Jerraya: Interactive System-Level Partitioning with PARTIF. In: Proc. of the European Conference on Design Automation (EDAC), Seiten 464–473, Paris, France, 1994.

    Google Scholar 

  24. Kianzad, V. und S. S. Bhattacharyya: CHARMED: A Multi-Objective Co-Synthesis Framework for Multi-Mode Embedded Systems. In: Proc. of the 15th IEEE International Conference on Application-Specific Systems, Architectures and Processors (ASAP’04), Seiten 28–40, Galveston, U.S.A., September 2004.

    Google Scholar 

  25. Kienhuis, A. C. J.: Design Space Exploration of Stream-Based Dataflow Architectures — Methods and Tools. Doktorarbeit, Delft University of Technology, Januar 1999.

    Google Scholar 

  26. Kienhuis, B., E. Deprettere, K. Vissers und P. van der Wolf: An Approach for Quantitative Analysis of Application-Specific Dataflow Architectures. In: Proc. of the IEEE International Conference on Application-Specific Systems, Architectures and Processors, Seiten 338–349, Zurich, Switzerland, Juli 1997.

    Google Scholar 

  27. Lahiri, K., A. Raghunathan und S. Dey: Efficient Exploration of the SoC Communication Architecture Design Space. In: Proc. of the 2000 International Conference on Computer-Aided Design, Seiten 424–430, San Jose, U.S.A., November 2000.

    Google Scholar 

  28. Lieverse, P., P. van der Wolf und E. Deprettere: A Trace Transformation Technique for Communication Refinement. In: Proc. 9th International Symposium on Hardware/Software Codesign (CODES’2001), Seiten 134–139, Copenhagen, Denmark, April 2001.

    Google Scholar 

  29. Lieverse, P., P. van der Wolf, E. Deprettere und K. Vissers: A Methodology for Architecture Exploration of Heterogeneous Signal Processing Systems. Journal of VLSI Signal Processing for Signal, Image and Video Technology, 29(3):197–207, November 2001.

    MATH  Google Scholar 

  30. Mohanty, S., V. K. Prasanna, S. Neema und J. Davis: Rapid Design Space Exploration of Heterogeneous Embedded Systems Using Symbolic Search and Multi-Granular Simulation. In: Proc. of the joint Conference on Languages, Compilers and Tools for Embedded Systems: Software and Compilers for Embedded Systems, Seiten 18–27, Berlin, Germany, Juni 2002.

    Google Scholar 

  31. Neema, S.: System Level Synthesis of Adaptive Computing Systems. Doktorarbeit, Vanderbilt University, Nashville, Tennessee, Mai 2001.

    Google Scholar 

  32. Niemann, R. und P. Marwedel: An Algorithm for Hardware/Software Partitioning Using Mixed Integer Linear Programming. Design Automation for Embedded Systems, 2(2):165–193, März 1997.

    Article  Google Scholar 

  33. Palesi, M. und T. Givargis: Multi-Objective Design Space Exploration Using Genetic Algorithms. In: Proc. of the 10th international symposium on Hardware/Software Codesign, Seiten 67–72, Estes Park, U.S.A., Mai 2002.

    Google Scholar 

  34. Peixoto, H. P., M. F. Jacome, A. Royo und J. C. Lopez: The Design Space Layer: Supporting Early Design Space Exploration for Core-Based Designs. In: Proc. of the Conference on Design, Automation and Test in Europe, Seiten 676–683, Munich, Germany, März 1999.

    Google Scholar 

  35. Pimentel, A. D., C. Erbas und S. Polstra: A Systematic Approach to Exploring Embedded System Architectures at Multiple Abstraction Levels. IEEE Trans. on Computers, 55(2):99–112, 2006.

    Article  Google Scholar 

  36. Pimentel, A. D., L. O. Hertzberger, P. Lieverse, P. van der Wolf und E. F. Deprettere: Exploring Embedded-Systems Architectures with Artemis. IEEE Computer, 34(11):57–63, November 2001.

    Google Scholar 

  37. Pimentel, A. D., S. Polstra, F. Terpstra, A. W. van Halderen, J. E. Coffland und L. O. Hertzberger: Towards Efficient Design Space Exploration of Heterogeneous Embedded Media Systems. In: Deprettere, E. F., J. Teich und S. Vassiliadis (Herausgeber): Embedded Processor Design Challenges, Band 2268 der Reihe Lecture Notes in Computer Science (LNCS), Seiten 57–73, Berlin, Heidelberg, März 2002. Springer.

    Google Scholar 

  38. Pop, P., P. Eles und Z. Peng: Performance Estimation for Embedded Systems with Data and Control Dependencies. In: Proc. of the 8th International Workshop on Hardware/Software Codesign (CODES’00), Seiten 62–66, San Diego, U.S.A., Mai 2000.

    Google Scholar 

  39. Schlichter, T., C. Haubelt, F. Hannig und J. Teich: Using Symbolic Feasibility Tests during Design Space Exploration of Heterogeneous Multi-Processor Systems. In: Proc. of Application-specific Systems, Architectures and Processors (ASAP), Seiten 9–14, Samos, Greece, Juli 2005.

    Google Scholar 

  40. Schlichter, T., M. Lukasiewycz, C. Haubelt und J. Teich: Improving System Level Design Space Exploration by Incorporating SAT-Solvers into Multi-Objective Evolutionary Algorithms. In: Proc. of Annual Symposium on VLSI, Seiten 309–314, Karlsruhe, Germany, März 2006. IEEE Computer Society.

    Google Scholar 

  41. Schwiegershausen, M. und P. Pirsch: A System Level Design Methodology for the Optimization of Heterogeneous Multiprocessors. In: Proc. of 8th International Symposium on System Synthesis, Seiten 162–167, Cannes, France, Januar 1995.

    Google Scholar 

  42. Snider, G.: Spacewalker: Automated Design Space Exploration for Embedded Computer Systems. Technischer Bericht, Hewlett Packard, HP Laboratories Palo Alto, September 2001.

    Google Scholar 

  43. Streubühr, M., J. Falk, C. Haubelt, J. Teich, R. Dorsch und T. Schlipf: Task-Accurate Performance Modeling in SystemC for Real-Time Multi-Processor Architectures. In: Proceedings of Design, Automation and Test in Europe, Seiten 480–481, Munich, Germany, März 2006.

    Google Scholar 

  44. Thiele, L., S. Chakraborty, M. Gries und S. Künzli: A Framework for Evaluating Design Tradeoffs in Packet Processing Architectures. In: Proc. of the 39th Design Automation Conference (DAC 2002), Seiten 880–885, New Orleans, LA, U.S.A., Juni 2002.

    Google Scholar 

  45. Thiele, L., S. Chakraborty, M. Gries und S. Künzli: Design Space Exploration of Network Processor Architectures. Network Processor Design: Issues and Practices, 1:55–89, Oktober 2002.

    Google Scholar 

  46. Thiele, L., S. Chakraborty, M. Gries, A. Maxiaguine und J. Greutert: Embedded Software in Network Processors — Models and Algorithms. In: Proc. Workshop on Embedded Software (EMSOFT), Band 2211 der Reihe Lecture Notes in Computer Science, Seiten 416–434. Springer, 2001.

    Google Scholar 

  47. Thomas, D. E., J. K. Adams und H. Schmit: A Model and Methodology for Hardware-Software Codesign. IEEE Design and Test of Computers, 10(3):6–15, 1993.

    Article  Google Scholar 

  48. Xie, Y. und W. Wolf: Allocation and Scheduling of Conditional Task Graph in Hardware/Software Co-Synthesis. In: Proc. of the Conference on Design, Automation and Test in Europe, Seiten 620–625, Munich, Germany, März 2001.

    Google Scholar 

  49. Živković, V. D. und P. Lieverse: An Overview of Methodologies and Tools in the Field of System-Level Design. In: Deprettere, Ed F., Jürgen TEICH und Stamatis Vassiliadis (Herausgeber): Embedded Processor Design Challenges, Band 2268 der Reihe Lecture Notes in Computer Science (LNCS), Seiten 74–88. Springer, März 2002.

    Google Scholar 

Download references

Rights and permissions

Reprints and permissions

Copyright information

© 2007 Springer-Verlag Berlin Heidelberg

About this chapter

Cite this chapter

(2007). Systemsynthese. In: Digitale Hardware/Software-Systeme. eXamen.press. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-540-46824-0_8

Download citation

Publish with us

Policies and ethics