Skip to main content

Improving Memory Latency Aware Fetch Policies for SMT Processors

  • Conference paper
High Performance Computing (ISHPC 2003)

Part of the book series: Lecture Notes in Computer Science ((LNCS,volume 2858))

Included in the following conference series:

Abstract.

In SMT processors several threads run simultaneously to increase available ILP, sharing but competing for resources. The instruction fetch policy plays a key role, determining how shared resources are allocated.

When a thread experiences an L2 miss, critical resources can be monopolized for a long time choking the execution of the remaining threads. A primary task of the instruction fetch policy is to prevent this situation. In this paper we propose novel improved versions of the three best published policies addressing this problem. Our policies significantly enhance the original ones in throughput, and fairness, also reducing the energy consumption.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

Similar content being viewed by others

References

  1. Cazorla, F.J., Fernandez, E., Ramirez, A., Valero, M.: Improving long-latencyloads- aware fetch policies for SMT processors. Technical Report UPC-DAC-2003- 21, Universitat Politecnica de Catalunya (May 2003)

    Google Scholar 

  2. El-Moursy, A., Albonesi, D.H.: Front-end policies for improved issue efficiency in SMT processors. In: Proceedings of the 9th Intl. Conference on High Performance Computer Architecture (February 2003)

    Google Scholar 

  3. Gulati, M., Bagherzadeh, N.: Performance study of a multithreaded superscalar microprocessor. In: Proceedings of the 2nd Intl. Conference on High Performance Computer Architecture, February 1996, pp. 291–301 (1996)

    Google Scholar 

  4. Limousin, C., Sébot, J., Vartanian, A., Drach-Temam, N.: Improving 3d geometry transformations on a simultaneous multithreaded SIMD processor. In: Proceedings of the 13th Intl. Conference on Supercomputing, May 2001, pp. 236–245 (2001)

    Google Scholar 

  5. Luo, K., Gummaraju, J., Franklin, M.: Balancing throughput and fairness in SMT processors. In: Proceedings of the International Symposium on Performance Analysis of Systems and Software, November 2001, pp. 164–171 (2001)

    Google Scholar 

  6. Sherwood, T., Perelman, E., Calder, B.: Basic block distribution analysis to find periodic behavior and simulation points in applications. In: Proceedings of the Intl. Conference on Parallel Architectures and Compilation Techniques (September 2001)

    Google Scholar 

  7. Tullsen, D., Brown, J.: Handling long-latency loads in a simultaneous multithreaded processor. In: Proceedings of the 34th Annual ACM/IEEE Intl. Symposium on Microarchitecture (December 2001)

    Google Scholar 

  8. Tullsen, D., Eggers, S., Emer, J., Levy, H., Lo, J., Stamm, R.: Exploiting choice: Instruction fetch and issue on an implementable simultaneous multithreading processor. In: Proceedings of the 23th Annual Intl. Symposium on Computer Architecture, April 1996, pp. 191–202 (1996)

    Google Scholar 

  9. Tullsen, D.M., Eggers, S., Levy, H.M.: Simultaneous multithreading: Maximizing on-chip parallelism. In: Proceedings of the 22th Annual Intl. Symposium on Computer Architecture (1995)

    Google Scholar 

  10. Yamamoto, W., Nemirovsky, M.: Increasing superscalar performance through multistreaming. In: Proceedings of the 1st Intl. Conference on High Performance Computer Architecture, June 1995, pp. 49–58 (1995)

    Google Scholar 

  11. Yoaz, A., Erez, M., Ronen, R., Jourdan, S.: Speculation techniques for improving load related instruction scheduling. In: Proceedings of the 26th Annual Intl. Symposium on Computer Architecture (May 1999)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2003 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Cazorla, F.J., Fernandez, E., Ramírez, A., Valero, M. (2003). Improving Memory Latency Aware Fetch Policies for SMT Processors. In: Veidenbaum, A., Joe, K., Amano, H., Aiso, H. (eds) High Performance Computing. ISHPC 2003. Lecture Notes in Computer Science, vol 2858. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-540-39707-6_6

Download citation

  • DOI: https://doi.org/10.1007/978-3-540-39707-6_6

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-20359-9

  • Online ISBN: 978-3-540-39707-6

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics