Skip to main content

Cost-Effective Computational Modeling of Fault Tolerant Optimization of FinFET-Based SRAM Cells

  • Conference paper
  • First Online:
Cybernetics and Mathematics Applications in Intelligent Systems (CSOC 2017)

Part of the book series: Advances in Intelligent Systems and Computing ((AISC,volume 574))

Included in the following conference series:

  • 1141 Accesses

Abstract

In the area of computational memory management, energy efficiency and proper utilization of memory cell area is being constantly investigated. However, record of research manuscript in this regards are quite less compared to other related research topic in computer science. We reviewed existing techniques of upgrading the performance of FinFET-based SRAM and found that adoption of computational modeling for optimization is quite a few to find. Hence, we model the problem of leakage power minimization as linear optimization problem and develop a technique that ensures better fault tolerance operation of FinFET-based SRAM using enhanced particle swarm optimization. We minimize the computational complexity of the algorithm compared to conventional evolutionary technique and other performance upgrading system found in recent times. Our algorithm has better control over convergence rate, energy dissipation, and capability to ensure fault tolerance.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Reis, R., Cao, Y., Wirth, G.: Circuit Design for Reliability. Springer, New York (2014)

    Google Scholar 

  2. Han, W., Wang, Z.M.: Toward Quantum FinFET. Springer Science & Business Media, Switzerland (2013)

    Book  Google Scholar 

  3. Shin, C.: Variation-Aware Advanced CMOS Devices and SRAM. Springer, Dordrecht (2016)

    Book  Google Scholar 

  4. Prince, B.: Vertical 3D Memory Technologies. Wiley (2014)

    Google Scholar 

  5. Girish, H., Shashikumar, D.R.: Insights of performance enhancement techniques on FinFET-based SRAM cells. Commun. Appl. Electr. (CAE) 5(6), 20–26 (2016). Foundation of Computer Science

    Article  Google Scholar 

  6. Parimaladevia, M., Sharmilab, D., Kowsikaa, L.: A survey on the performance analysis of 6t sram cell using novel devices. South Asian J. Eng. Technol. 2(18), 71–77 (2016)

    Google Scholar 

  7. Bhattacharya, D., Jha, N.K.: FinFETs: from devices to architectures. Adv. Electr. (2014). Hindawi Publishing Corporation

    Google Scholar 

  8. Burnett, D., Parihar, S., Ramamurthy, H., Balasubramanian, S.: FinFET SRAM design challenges. In: IEEE International Conference on IC Design and Technologies, pp. 1–4 (2014)

    Google Scholar 

  9. Zhang, X., Connelly, D., Zheng, P., Takeuchi, H.: Analysis of 7/8-nm bulk-si FinFET technologies for 6T-SRAM scaling. IEEE Trans. Electron Dev. 63(4), 1502–1507 (2016)

    Article  Google Scholar 

  10. Zhang, X.: Simulation-based study of super-steep retrograde doped bulk FinFET technology and 6T-SRAM yield. Doctorial Thesis on University of California at Berkeley (2016)

    Google Scholar 

  11. Lee, J.H.: Bulk FinFETs: design at 14 nm node and key characteristics. In: Kyung, C.-M. (ed.) Nano Devices and Circuit Techniques for Low-Energy Applications and Energy Harvesting. KAIST Research Series, pp. 33–64. Springer, Dordrecht (2016)

    Google Scholar 

  12. Song, T., Rim, W., Park, S., Kim, Y.: A 10 nm FinFET 128 Mb SRAM with assist adjustment system for power, performance, and area optimization. In: IEEE International Solid-State Circuits Conference (2016)

    Google Scholar 

  13. Ansari, M., Kusha, H.A., Ebrahimi, B., Navabi, Z.: A near-threshold 7T SRAM cell with high write and read margins and low write time for sub-20 nm FinFET technologies. J. Integr. VLSI J. 50, 91–106 (2015). Elsevier

    Article  Google Scholar 

  14. Dani, L.M., Singh, G., Kaur, M.: FinFET based 6T SRAM cell for nanoscaled technologies. Int. J. Comput. Appl. 127(13), 3 (2015)

    Google Scholar 

  15. Gupta, S.K., Roy, K.: Low power robust FinFET-based SRAM design in scaled technologies. In: Reis, R., Cao, Y., Wirth, G. (eds.) Circuit Design for Reliability, pp. 223–253. Springer, New York (2015)

    Google Scholar 

  16. Kushwah, R.S., Akashe, S.: FinFET-based 6T SRAM cell design: analysis of performance metric, process variation and temperature effect. InderScience Int. J. Sig. Imaging Syst. Eng. 8(6), 2500–2506 (2015)

    Google Scholar 

  17. Park, J., Yang, Y., Jeong, H., Song, S.C., Wang, J.: Design of a 22-nm FinFET-based SRAM with read buffer for near-threshold voltage operation. IEEE Trans. Electron Devices 62(6), 1698–1704 (2015)

    Article  Google Scholar 

  18. Manju, I., Kumar, A.S.: A 22 nm FinFET based 6T-SRAM cell design with scaled supply voltage for increased read access time. Analog Integr. Circ. Sig. Process 84(1), 119–126 (2015). Springer

    Article  Google Scholar 

  19. Farkhani, H., Peiravi, A., Moradi, F.: A new write assist technique for SRAM design in 65 nm CMOS technology. Integr. VLSI J. 50, 16–27 (2015). Elsevier

    Article  Google Scholar 

  20. Shafaei, A., Chen, S., Wang, Y., Pedram, M.: A cross-layer framework for designing and optimizing deeply-scaled FinFET-based cache memories. J. Low Power Electr. Appl. 5, 165–182 (2015)

    Article  Google Scholar 

  21. Zeinali, B., Madsen, J.K., Raghavan, P., Moradi, F.: Sub-threshold SRAM design in 14 nm FinFET technology with improved access time and leakage power. In: IEEE Computer Society Annual Symposium on VLSI (2015)

    Google Scholar 

  22. Pal, P.K., Kaushik, B.K., Dasgupta, S.: Design metrics improvement for SRAMs using symmetric dual-k spacer (SymD-k) FinFETs. IEEE Trans. Electron Devices 61(4), 1123–1130 (2014)

    Article  Google Scholar 

  23. Ghai, D., Mohanty, S.P., Thakral, G.: Comparative analysis of double gate FinFET configurations for analog circuit design. In: IEEE International Midwest Symposium on Circuits and Systems, pp. 809–812 (2013)

    Google Scholar 

  24. Kerber, P., Kanj, R., Joshi, R.V.: Strained SOI FINFET SRAM design. IEEE Electron Device Lett. 34(7), 876–878 (2013)

    Article  Google Scholar 

  25. Villacorta, H., Champac, V., Bota, S., Segura, J.: FinFET SRAM hardening through design and technology parameters considering process variations. In: IEEE European Conference on Radiation and Its Effects on Components and Systems, pp. 1–7 (2013)

    Google Scholar 

  26. Wang, W., Areibi, S., Anis, M.: Modeling leakage power reduction in VLSI as optimization problems. Optim. Eng. 8(2), 129–162 (2007). Springer

    Article  MATH  Google Scholar 

  27. Lu, B., Sapatnekar, S.S., Du, D.: Layout Optimization in VLSI Design, vol. 8. Springer, New York (2001)

    Google Scholar 

  28. Kashfi: Multi-objective optimization techniques for VLSI circuits (2011)

    Google Scholar 

  29. Ebrahimi, B., Rostami, M., A-Kusha, A., Pedram, M.: Statistical design optimization of FinFET SRAM using back-gate voltage. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 19(10), 1911–1916 (2011)

    Article  Google Scholar 

  30. Tang, A., Gao, X., Chen, L.-Y., Jha, N.K.: Delay/Power modeling and optimization of FinFET circuit modules under PVT variations: observing the trends between the 22 nm and 14 nm technology nodes. ACM J. Emerg. Technol. Comput. Syst. 12(4), 42 (2016). Article 42

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to H. Girish .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer International Publishing AG

About this paper

Cite this paper

Girish, H., Shashikumar, D.R. (2017). Cost-Effective Computational Modeling of Fault Tolerant Optimization of FinFET-Based SRAM Cells. In: Silhavy, R., Senkerik, R., Kominkova Oplatkova, Z., Prokopova, Z., Silhavy, P. (eds) Cybernetics and Mathematics Applications in Intelligent Systems. CSOC 2017. Advances in Intelligent Systems and Computing, vol 574. Springer, Cham. https://doi.org/10.1007/978-3-319-57264-2_1

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-57264-2_1

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-57263-5

  • Online ISBN: 978-3-319-57264-2

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics