Skip to main content

Variation-Mitigation for Reliable, Dependable and Energy-Efficient Future System Design

  • Chapter
  • First Online:
Dependable Multicore Architectures at Nanoscale
  • 804 Accesses

Abstract

Integrated circuits in modern SoCs and microprocessors are typically operated with sufficient timing margins to mitigate the impact of rising process, voltage and temperature (PVT) variations at advanced process nodes. The widening margins required for ensuring robust computation inevitably leads to conservative designs with unacceptable energy-efficiency overheads. Reconciling the conflicting objectives imposed by variation-mitigation and energy-efficient computing will require fundamental departures from conventional circuit and system-design practices. We begin by reviewing how energy-efficiency constrains computing across the entire spectrum, from ultra-low-power sensor node systems to high-performance supercomputing systems delivering peta-flop order performance. We discuss how rising variations adversely impact energy-efficient system design in the traditional method of designing for the worst case. We classify various sources of variation and discuss the traditional approaches for variation-mitigation and their limitations. The latter half of the chapter deals with several promising techniques for variation-mitigation. We discuss in situ ageing monitors, error-resilient techniques and adaptive-clocking techniques that aim at improving system-efficiency by actively reducing design guardbands. In particular, we focus on error-resilient techniques that exploit tolerance to timing errors to automatically compensate for variations and dynamically tune a system to its most efficient operating point. We present the Razor approach as a pioneering example of such a technique. We present silicon measurement results from multiple industrial and academic demonstration systems that employ Razor dynamic voltage and frequency management. Finally, we conclude the chapter with few pointers on alternative techniques for variability-mitigation.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. H. Esmaeilzadeh et al., Dark silicon and the end of multicore scaling. Micro IEEE 32(3), 122, 134 (2012)

    Google Scholar 

  2. D. Ernst, S. Das, S. Lee, D. Blaauw, T. Austin, T. Mudge, N.S. Kim, K. Flautner, Razor: circuit-level correction of timing errors for low-power operation. IEEE Micro 24(6), 10–20 (2004)

    Article  Google Scholar 

  3. S. Das et al., A self-tuning DVS processor using delay-error detection and correction. J. Solid-State Circ. (2006)

    Google Scholar 

  4. S. Das et al., RazorII: in situ error detection and correction for PVT and SER tolerance. IEEE J. Solid-State Circ. 44(1), 32–48 (2009)

    Article  Google Scholar 

  5. D. Bull, S. Das, K. Shivashankar, G. Dasika, K. Flautner, D. Blaauw, A power-efficient 32 bit arm processor using timing-error detection and correction for transient-error tolerance and adaptation to PVT variation. IEEE J. Solid-State Circ. 46(1), 18–31 (2011)

    Article  Google Scholar 

  6. J. Tschanz et al., Adaptive frequency and biasing techniques for tolerance to dynamic temperature-voltage variations and aging, in 2007 IEEE International Solid-State Circuit Conference (2007), pp. 292–293

    Google Scholar 

  7. K.J. Nowka et al., A 32-bit POWERPC system-on-a-chip with support for dynamic voltage scaling and dynamic frequency scaling. IEEE J. Solid-State Circ. 37(11), 1441–1447 (2002)

    Article  Google Scholar 

  8. A. Drake et al., A distributed critical-path timing monitor for a 65 nm high-performance microprocessor, in IEEE International Solid-State Circuit Conference (February 2007), pp. 398–399

    Google Scholar 

  9. T. Fischer et al., “A 90-nm variable frequency clock system for a power-managed itanium architecture processor. IEEE J. Solid-State Circ. 218–228 (2006)

    Google Scholar 

  10. R. McGowen et al., Power and temperature control on a 90-nm itanium family processor. IEEE J. Solid-State Circ. 229–237 (2006)

    Google Scholar 

  11. S. Das D. Blaauw, Adaptive design for nanometer technology, in IEEE International Symposium on Circuits and Systems, 2009. ISCAS 2009 (2009), pp. 77–80

    Google Scholar 

  12. S. Youngmin et al., 28 nm high-metal-gate heterogeneous quad-core CPUs for high-performance and energy-efficient mobile application processor, in 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (17–21 February 2013), pp. 154, 155

    Google Scholar 

  13. F. Masaki et al., A 28 nm high κ-metal-gate single-chip communications processor with 1.5 GHz dual-core application processor and LTE/HSPA + -capable baseband processor, in 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (17–21 February 2013), pp. 156, 157

    Google Scholar 

  14. K. Bowman et al., A 45 nm resilient microprocessor core for dynamic variation tolerance. IEEE J. Solid-State Cir. 46(1), 194–208 (2010)

    Article  Google Scholar 

  15. M. Nicolaidis, Time redundancy based soft-error tolerance to rescue nanometer technologies, in Proceedings of the IEEE VLSI Test Symposium (April 1999), pp. 86–94

    Google Scholar 

  16. S. Das, G. Dasika, K. Shivashankar, D. Bull, A 1 GHz hardware loop-accelerator with razor-based dynamic adaptation for energy-efficient operation, in IEEE Custom Integrated Circuits Conference (September 2013)

    Google Scholar 

  17. P. Whatmough, S. Das, D. Bull, A low-power 1 GHz razor FIR accelerator with time-borrow tracking pipeline and approximate error correction in 65 nm CMOS, in IEEE International Solid-State Circuits Conference (February 2013), pp. 428–429

    Google Scholar 

  18. J. Tschanz et al., Tunable replica circuits and adaptive voltage-frequency techniques for dynamic voltage, temperature, and aging variation tolerance, in 2009 Symposium on VLSI Circuits (2009) pp. 112–113

    Google Scholar 

  19. N. Kurd et al., A Family of 32 nm IA processors. IEEE J. Solid-State Circ. 46 (1), 119–130 (2011)

    Google Scholar 

  20. A. Grenat, S. Pant, R. Rachala, S. Naffziger, Adaptive clocking system for improved power efficiency in a 28 nm x86-64 microprocessor, in 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) pp. 106–107

    Google Scholar 

  21. S. Das, P.N. Whatmough, D. Bull, Modeling and characterization of the system-level power delivery network for a dual-core ARM cortex-A57 cluster in 28 nm CMOS. ISLPED (2015)

    Google Scholar 

  22. P.N. Whatmough, S. Das, D. Bull, Analysis of adaptive clocking technique for resonant supply voltage noise mitigation. ISLPED (2015)

    Google Scholar 

  23. M. Gupta et al., Cross-layer system resilience at affordable power, in 2014 IEEE International Reliability Physics Symposium (June 2014)

    Google Scholar 

  24. P.N. Whatmough, S. Das, S.D.M. Bull, I. Darwazeh, Circuit-level timing error tolerance for low-power DSP filters and transforms. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on 21(6), 989–999 (2013)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Shidhartha Das .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer International Publishing AG

About this chapter

Cite this chapter

Das, S. (2018). Variation-Mitigation for Reliable, Dependable and Energy-Efficient Future System Design. In: Ottavi, M., Gizopoulos, D., Pontarelli, S. (eds) Dependable Multicore Architectures at Nanoscale. Springer, Cham. https://doi.org/10.1007/978-3-319-54422-9_7

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-54422-9_7

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-54421-2

  • Online ISBN: 978-3-319-54422-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics