Skip to main content

Dependability Threats

  • Chapter
  • First Online:
Dependable Multicore Architectures at Nanoscale

Abstract

This chapter discusses dependability threads for modern integrated circuits that affect both their correct operation and performance. The text provides an overview of fault/error models adopted in methodologies for dependability assessment, analysis, and mitigation. Faults are categorized based on their applicability in the various abstraction layers. Their applicability to modern design trends such as FPGAs and NoCs is also presented. Furthermore, models for emerging and future dependability issues are discussed in the same rationale. In particular, special attention is given to those issues that typically arise during the operational life of the devices, causing either transient, intermittent or permanent failures, including aging and wear-out effects that directly affect their lifetime.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. A. Aviziens, Fault-tolerant systems. IEEE Trans. Comput. 12, 1304–1312 (1976)

    Article  Google Scholar 

  2. P.K. Lala, An introduction to logic circuit testing. Synth. Lect. Digit. Circ. Syst. 3(1), 1–100 (2008)

    Google Scholar 

  3. Cristian Constantinescu, Trends and challenges in VLSI circuit reliability. IEEE Micro 4, 14–19 (2003)

    Article  Google Scholar 

  4. N.K. Jha, S. Gupta, Testing of Digital Systems (Cambridge University Press, 2003)

    Google Scholar 

  5. C. Constantinescu, Impact of Intermittent Faults on Nanocomputing Devices. In DSN 2007 Workshop on Dependable and Secure Nanocomputing, 2007

    Google Scholar 

  6. P.M. Wells, K. Chakraborty, G.S. Sohi, Adapting to intermittent faults in multicore systems. In ACM Sigplan Notices, ACM, vol. 43, 2008, pp. 255–264

    Google Scholar 

  7. M. Bushnell, V.D. Agrawal, Essentials of electronic Testing for Digital, Memory and Mixed-signal VLSI Circuits, vol. 17. Springer Science & Business Media, 2000

    Google Scholar 

  8. R. Rajsuman, Digital Hardware Testing: Transistor-Level Fault Modeling and Testing (Artech House Inc, Norwood, MA, USA, 1992)

    Google Scholar 

  9. S. Gosh, T.J. Chakraborty, On behavior fault modeling for digital systems. J. Electron. Test. Theory Appl. 2, 135–151 (1991)

    Article  Google Scholar 

  10. R.J. Hayne. Behavioral fault modeling in a VHDL synthesis environment. Ph.D. Thesis, University of Virginia, 1999

    Google Scholar 

  11. L.-C. Wang, M.S. Abadir, Test generation based on high-level assertion specification for PowerPCTM microprocessor embedded arrays. J. Electron. Test. 13(2), 121–135 (1998)

    Google Scholar 

  12. P.C. Ward, J.R. Armstrong, Behavioral Fault Simulation in VHDL. in Proceedings of the 27th ACM/IEEE Design Automation Conference on ACM, 1991, pp. 587–593

    Google Scholar 

  13. P. Banerjee, A model for simulating physical failures in MOS VLSI circuits. Coordinated Science Laboratory Report no. CSG-13, 1982

    Google Scholar 

  14. J.P. Hayes, Fault modeling. IEEE Des. Test Comput. 2(2), 88–95 (1985)

    Article  Google Scholar 

  15. T. Sridhar, J.P. Hayes, A functional approach to testing bit-sliced microprocessors. IEEE Trans. Comput. 100(8), 563–571 (1981)

    Google Scholar 

  16. M.C. Hansen, J.P. Hayes, High-level Test Generation Using Physically-Induced Faults. in Proceedings of VLSI Test Symposium on IEEE, 13th IEEE, 1995, pp. 20–28

    Google Scholar 

  17. M. Michael, S. Tragoudas, ATPG tools for delay faults at the functional level. ACM Trans. Des. Autom. Electron. Syst. 7(1), 33–57 (2002)

    Article  Google Scholar 

  18. S.M. Thatte, J.A. Abraham, Test generation for microprocessors. IEEE Trans. Comput. 100(6), 429–441 (1980)

    Google Scholar 

  19. D. Brahme, J.A. Abraham, Functional testing of microprocessors. IEEE Trans. Comput. 100(6), 475–485 (1984)

    Article  MATH  Google Scholar 

  20. M.-L. Li, P. Ramachandran, U.R. Karpuzcu, S.K.S. Hari, S.V. Adve, Accurate Microarchitecture-level Fault Modeling for Studying Hardware Faults. In 2009 IEEE 15th International Symposium on High Performance Computer Architecture, 2009, pp. 105–116

    Google Scholar 

  21. K. Christou, M.K. Michael, P. Bernardi, M. Grosso, E. Sánchez, M.S. Reorda. A Novel SBST Generation Technique for Path-delay Faults in Microprocessors Exploiting Gate-and RT-level Descriptions. in 26th IEEE VLSI Test Symposium (VTS 2008), 2008, pp. 389–394

    Google Scholar 

  22. A.J. Van de Goor, C.A. Verruijt, An overview of deterministic functional RAM chip testing. ACM Comput. Surv. 22(1), 5–33 (1990)

    Article  Google Scholar 

  23. R. Nair, S.M. Thatte, J.A. Abraham, Efficient algorithms for testing semiconductor random-access memories. IEEE Trans. Comput. 27(6), 572–576 (1978)

    Article  MathSciNet  MATH  Google Scholar 

  24. A.J. Van de Goor, Testing Semiconductor Memories: Theory and Practice (Wiley, London, 1991)

    Google Scholar 

  25. John P. Hayes, Detection of pattern-sensitive faults in random-access memories. IEEE Trans. Comput. 100(2), 150–157 (1975)

    Article  MathSciNet  MATH  Google Scholar 

  26. A. Krstic, K.-T. Cheng, Delay fault testing for VLSI circuits, vol. 14. Springer Science & Business Media, 1998

    Google Scholar 

  27. M. Sivaraman, A.J. Strojwas, A Unified Approach for Timing Verification and Delay Fault Testing. Springer Science & Business Media, 2012

    Google Scholar 

  28. S.N. Neophytou, M.K. Michael, S. Tragoudas, Functions for quality transition-fault tests and their applications in test-set enhancement. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 25(12), 3026–3035 (2006)

    Google Scholar 

  29. G.L. Smith, Model for Delay Faults Based Upon Paths. in ITC, Citeseer, 1985, pp. 342–351

    Google Scholar 

  30. A. Krstic, K.-T. Cheng, S.T. Chakradhar, Primitive delay faults: identification, testing, and design for testability. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 18(6), 669–684 (1999)

    Google Scholar 

  31. M. Sivaraman, A.J. Strojwas, Primitive path delay faults: identification and their use in timing analysis. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 19(11), 1347–1362 (2000)

    Google Scholar 

  32. K. Christou, M.K. Michael, S. Neophytou, Identification of Critical Primitive Path Delay Faults Without any Path Enumeration. in 2010 28th VLSI Test Symposium (VTS) on IEEE, 2010, pp. 9–14

    Google Scholar 

  33. T.C. May, M.H. Woods, A New Physical Mechanism for Soft Errors in Dynamic Memories. in IEEE Reliability Physics Symposium, 1978. 16th Annual, 1978, pp. 33–40

    Google Scholar 

  34. T.C. May, M.H. Woods, Alpha-particle-induced soft errors in dynamic memories. IEEE Trans. Electron Devices 26(1), 2–9 (1979)

    Google Scholar 

  35. R.C. Baumann, Radiation-induced soft errors in advanced semiconductor technologies. IEEE Trans. Device Mater. Reliab. 5(3), 305–316 (2005)

    Article  Google Scholar 

  36. JEDEC Standard JESD89A, Measurement and reporting of alpha particle and terrestrial cosmic ray-induced soft errors in semiconductor devices. JEDEC solid state technology association, 2006

    Google Scholar 

  37. S. Mukherjee, Architecture Design for Soft Errors (Morgan Kaufmann, 2008)

    Google Scholar 

  38. M. Nicolaidis, Soft errors in modern electronic systems, vol. 41. Springer Science & Business Media, 2010

    Google Scholar 

  39. S.E. Diehl, A. Ochoa, P.V. Dressendorfer, R. Koga, W.A. Kolasinski, Error analysis and prevention of cosmic ion-induced soft errors in static CMOS RAMs. IEEE Trans. Nucl. Sci. 29(6), 2032–2039 (1982)

    Article  Google Scholar 

  40. P.E. Dodd, F.W. Sexton, Critical charge concepts for CMOS SRAMs. IEEE Trans. Nucl. Sci. 42(6), 1764–1771 (1995)

    Article  Google Scholar 

  41. R. Naseer, J. Draper, Parallel Double Error Correcting Code Design to Mitigate Multi-bit Upsets in SRAMs. in 34th European Solid-State Circuits Conference on IEEE, ESSCIRC 2008, 2008, pp. 222–225

    Google Scholar 

  42. P.S. Ostler, M.P. Caffrey, D.S. Gibelyou, P.S. Graham, K.S. Morgan, B.H. Pratt, H.M. Quinn, M.J. Wirthlin, SRAM FPGA reliability analysis for harsh radiation environments. IEEE Trans. Nucl. Sci. 56(6), 3519–3526 (2009)

    Google Scholar 

  43. T. Karnik, P. Hazucha, Characterization of soft errors caused by single event upsets in CMOS processes. IEEE Trans. Dependable Secure Comput. 1(2), 128–143 (2004)

    Article  Google Scholar 

  44. R.D. Schrimpf, D.M. Fleetwood, Radiation Effects and Soft Errors in Integrated Circuits and Electronic Devices, vol. 34. World Scientific, 2004

    Google Scholar 

  45. G. Georgakos, P. Huber, M. Ostermayr, E. Amirante, F. Ruckerbauer, Investigation of Increased Multi-bit Failure Rate Due to Neutron Induced SEU in Advanced Embedded SRAMs. in 2007 IEEE Symposium on VLSI Circuits, 2007

    Google Scholar 

  46. M. Maniatakos, M. Michael, C. Tirumurti, Y. Makris, Revisiting vulnerability analysis in modern microprocessors. IEEE Trans. Comput. 64(9), 2664–2674 (2015)

    Article  MathSciNet  Google Scholar 

  47. H. Belhaddad, R. Perez, M. Nicolaidis, R. Gaillard, M. Derbey, F. Benistant, Circuit Simulations of SEU and SET Disruptions by Means of an Empirical Model Built Thanks to a Set of 3d Mixed-mode Device Simulation Responses. in Proceedings of RADECS, 2006

    Google Scholar 

  48. H. Belhaddad, R. Perez, Apparatus and method for the determination of SEU and SET disruptions in a circuit caused by ionizing particle strikes, May 29 2007. US Patent App. 11/807,433

    Google Scholar 

  49. IROC Tech. TFIT Software. https://www.iroctech.com/solutions/transistorcell-level-fault-simulation-tools-and-services, 2016

  50. A. Balasubramanian, B.L. Bhuva, J.D. Black, L.W. Massengill, RHBD techniques for mitigating effects of single-event hits using guard-gates. IEEE Trans Nucl Sci 52(6), 2531–2535 (2005)

    Article  Google Scholar 

  51. R.L. Shuler, A. Balasubramanian, B. Narasimham, B.L. Bhuva, P.M. O’Neill, C. Kouba, The effectiveness of tag or guard-gates in set suppression using delay and dual-rail configurations at 0.35 μm. IEEE Trans. Nucl. Sci. 53(6), 3428–3431 (2006)

    Google Scholar 

  52. P.E. Dodd, M.R. Shaneyfelt, J.R. Schwank, G.L. Hash, Neutron-induced Latchup in SRAMs at Ground Level. in Reliability Physics Symposium Proceedings, 2003. 41st Annual. 2003 IEEE International, IEEE, 2003, pp. 51–55

    Google Scholar 

  53. Altera Corp. Altera FPGA Overview. https://www.altera.com/products/fpga/overview.html, 2016

  54. Xilinx Inc, Xilinx FPGA Devices. http://www.xilinx.com/products/silicon-devices/fpga.html, 2016

  55. M.B. Tahoori, E.J. McCluskey, M. Renovell, P. Faure. A Multi-configuration Strategy for an Application Dependent testing of FPGAs. in Proceedings of 22nd IEEE VLSI Test Symposium, 2004, pp. 154–159

    Google Scholar 

  56. M. Abramovici, C. Stroud, BIST-based Detection and Diagnosis of Multiple Faults in FPGAs. in Proceedings of International Test Conference, 2000, pp. 785–794, 2000

    Google Scholar 

  57. M. Renovell, J.M. Portal, J. Figueras, Y. Zorian. SRAM-based FPGA’s: Testing the LUT/RAM Modules. in Proceedings of International Test Conference, 1998, pp. 1102–1111

    Google Scholar 

  58. M. Renovell, J.M. Portal, J. Figueras, Y. Zorian, Testing the interconnect of RAM-based FPGAs. IEEE Des. Test Comput. 15(1), 45–50 (1998)

    Article  Google Scholar 

  59. C. Stroud, S. Wijesuriya, C. Hamilton, M. Abramovici, Built-in self-test of fpga interconnect. in Proceedings of International Test Conference, 1998, pp. 404–411

    Google Scholar 

  60. X. Sun, J. Xu, B. Chan, P. Trouborst, Novel Technique for Built-in Self-test of FPGA Interconnects. in Proceedings of International Test Conference, 2000, pp. 795–803

    Google Scholar 

  61. M.B. Tahoori, S. Mitra, Application-independent testing of fpga interconnects. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 24(11), 1774–1783 (2005)

    Article  Google Scholar 

  62. L. Bauer, C. Braun, M.E. Imhof, M.A. Kochte, E. Schneider, H. Zhang, J. Henkel, H.J. Wunderlich, Test strategies for reliable runtime reconfigurable architectures. IEEE Trans. Comput. 62(8), 1494–1507 (2013)

    Article  MathSciNet  MATH  Google Scholar 

  63. A. Cilardo, New techniques and tools for application-dependent testing of FPGA-based components. IEEE Trans. Industr. Inf. 11(1), 94–103 (2015)

    Article  Google Scholar 

  64. T.N. Kumar, F. Lombardi, A novel heuristic method for application-dependent testing of a SRAM-based FPGA interconnect. IEEE Trans. Comput. 62(1), 163–172 (2013)

    Article  MathSciNet  MATH  Google Scholar 

  65. M. Tahoori, Application-dependent testing of FPGAs. IEEE Trans. Very Large Scale Integr. VLSI Syst. 14(9), 1024–1033 (2006)

    Article  Google Scholar 

  66. M.B. Tahoori, S. Mitra, Application-dependent delay testing of FPGAs. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 26(3), 553–563 (2007)

    Article  Google Scholar 

  67. M. Rebaudengo, M.S. Reorda, M. Violante, A New Functional Fault Model for FPGA Application-oriented Testing. in Proceedings of 17th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2002. DFT 2002, 2002, pp. 372–380

    Google Scholar 

  68. E. Chmelaf, FPGA Interconnect Delay Fault Testing. in Proceedings of International Test Conference (ITC), 2003, vol 1, pp. 1239–1247

    Google Scholar 

  69. P.R. Menon, W. Xu, R. Tessier, Design-specific path delay testing in lookup-table-based FPGAs. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 25(5), 867–877 (2006)

    Google Scholar 

  70. M. Psarakis, D. Gizopoulos, A. Paschalis, Test generation and fault simulation for cell fault model using stuck-at fault model based test tools. J. Electron. Test. 13(3), 315–319 (1998)

    Article  Google Scholar 

  71. A.J. Van De Goor, Using march tests to test SRAMs. IEEE Des. Test Comput. 10(1), 8–14 (1993)

    Article  Google Scholar 

  72. L.-T. Wang, C.-W. Wu, X. Wen, VLSI Test Principles and Architectures: Design for Testability (Academic Press, 2006)

    Google Scholar 

  73. W.K. Huang, F.J. Meyer, X.-T. Chen, F. Lombardi, Testing configurable LUT-based FPGA’s. IEEE Trans. Very Large Scale Integr. Syst. 6(2), 276–283 (1998)

    Google Scholar 

  74. S. Jamuna, V.K. Agrawal, Implementation of Bistcontroller for Fault Detection in CLB of FPGA. in 2012 International Conference on Devices, Circuits and Systems (ICDCS), 2012, pp. 99–104

    Google Scholar 

  75. S.-J. Wang, T.-M. Tsai, Test and Diagnosis of Faulty Logic Blocks in FPGAs. in IEEE/ACM International Conference on Computer-Aided Design, 1997. Digest of Technical Papers, 1997, pp. 722--727

    Google Scholar 

  76. M.B. Tahoori, Application-Dependent Testing of FPGA Interconnects. in Proceedings of 18th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2003, pp. 409–416

    Google Scholar 

  77. H. Asadi, M.B. Tahoori, Analytical techniques for soft error rate modeling and mitigation of FPGA-based designs. IEEE Trans. Very Large Scale Integr. VLSI Syst. 15(12), 1320–1331 (2007)

    Article  Google Scholar 

  78. C. Bernardeschi, L. Cassano, A. Domenici, L. Sterpone, Assess: A simulator of soft errors in the configuration memory of SRAM-based FPGAs. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 33(9), 1342–1355 (2014)

    Article  Google Scholar 

  79. C. Bolchini, A. Miele, C. Sandionigi, Increasing Autonomous Fault-tolerant FPGA-based Systems’ Lifetime. in 2012 17th IEEE European Test Symposium (ETS), 2012, pp.1–6

    Google Scholar 

  80. K. Morgan, M. Caffrey, P. Graham, E. Johnson, B. Pratt, M. Wirthlin, Seu-induced persistent error propagation in FPGAs. IEEE Trans. Nucl. Sci. 52(6), 2438–2445 (2005)

    Article  Google Scholar 

  81. E.S.S. Reddy, V. Chandrasekhar, M. Sashikanth, V. Kamakoti, N. Vijaykrishnan, Detecting SEU-caused routing errors in SRAM-based FPGAs. in 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design, 2005, pp. 736–741

    Google Scholar 

  82. M. Violante, L. Sterpone, M. Ceschia, D. Bortolato, P. Bernardi, M.S. Reorda, A. Paccagnella, Simulation-based analysis of seu effects in SRAM-based FPGAs. IEEE Trans. Nucl. Sci. 51(6), 3354–3359 (2004)

    Article  Google Scholar 

  83. M. Ebrahimi, P.M.B. Rao, R. Seyyedi, M.B. Tahoori, Low-cost multiple bit upset correction in SRAM-based fpga configuration frames. IEEE Trans. Very Large Scale Integr. VLSI Syst. 24(3), 932–943 (2016)

    Article  Google Scholar 

  84. M. Lanuzza, P. Zicari, F. Frustaci, S. Perri, and P. Corsonello, A Self-hosting Configuration Management System to Mitigate the Impact of Radiation-induced Multi-bit Upsets in SRAM-based FPGAs. in 2010 IEEE International Symposium on Industrial Electronics, 2010, pp. 1989–1994

    Google Scholar 

  85. P.M. B. Rao, M. Ebrahimi, R. Seyyedi, M.B. Tahoori, Protecting SRAM-based FPGAs Against Multiple Bit Upsets Using Erasure Codes. in 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC), 2014, pp. 1–6

    Google Scholar 

  86. A. Sari, M. Psarakis, Scrubbing-based SEU mitigation approach for systems-on-programmable-chips. in 2011 International Conference on Field-Programmable Technology (FPT), 2011, pp. 1–8

    Google Scholar 

  87. A. Sari, M. Psarakis, and D. Gizopoulos. Combining checkpointing and scrubbing in fpga-based real-time systems. in VLSI Test Symposium (VTS), 2013 IEEE 31st, pages 1–6, April 2013

    Google Scholar 

  88. C. Bolchini, A. Miele, M.D, Santambrogio, TMR and Partial Dynamic Reconfiguration to Mitigate SEU Faults in FPGAs. in 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007), 2007, pp. 87–95

    Google Scholar 

  89. F.G. de Lima Kastensmidt, G. Neuberger, R.F. Hentschke, L. Carro, R. Reis, Designing fault-tolerant techniques for SRAM-based FPGAs. IEEE Des. Test Comput. 21(6), 552–562 (2004)

    Google Scholar 

  90. J.M. Johnson, M.J. Wirthlin, Voter Insertion Algorithms for FPGA Designs Using Triple Modular Redundancy. in Proceedings of the 18th Annual ACM/SIGDA International Symposium on Field Programmable Gate Arrays, FPGA’10, New York, NY, USA, 2010. ACM, pp. 249–258

    Google Scholar 

  91. F.L. Kastensmidt, L. Carro, Fault-tolerance techniques for SRAM-based FPGAs, vol. 1. Springer, Berlin

    Google Scholar 

  92. F. Lahrach, A. Doumar, E. Châtelet, A. Abdaoui, Master-slave TMR Inspired Technique for Fault Tolerance of SRAM-based FPGA. in 2010 IEEE Computer Society Annual Symposium on VLSI, 2010, pp. 58–62

    Google Scholar 

  93. H.R. Zarandi, S.G. Miremadi, C. Argyrides, D.K. Pradhan, CLB-based Detection and Correction of Bit-flip Faults in SRAM-based FPGAs. in 2007 IEEE International Symposium on Circuits and Systems, 2007, pp. 3696–3699

    Google Scholar 

  94. C. Bolchini, A. Miele, C. Sandionigi, A novel design methodology for implementing reliability-aware systems on SRAM-based FPGAs. IEEE Trans. Comput. 60(12), 1744–1758 (2011)

    Article  MathSciNet  MATH  Google Scholar 

  95. C. Bolchini, C. Sandionigi, Fault classification for SRAM-based FPGAs in the space environment for fault mitigation. IEEE Embed. Syst. Lett. 2(4), 107–110 (2010)

    Article  Google Scholar 

  96. B.S. Gill, C. Papachristou, F.G. Wolff, A New Asymmetric SRAM Cell to Reduce Soft Errors and Leakage Power in FPGA. in 2007 Design, Automation Test in Europe Conference Exhibition, 2007, pp. 1–6

    Google Scholar 

  97. S. Mitra, N. Seifert, M. Zhang, Q. Shi, K.S. Kim, Robust system design with built-in soft-error resilience. Computer 38(2), 43–52 (2005)

    Article  Google Scholar 

  98. M. Psarakis, A. Vavousis, C. Bolchini, A. Miele, Design and Implementation of a Self-healing Processor on SRAM-based FPGAs. in 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), 2014, pp. 165–170

    Google Scholar 

  99. M. Sonza Reorda, M. Violante, C. Meinhardt, R. Reis, A Low-cost See Mitigation Solution for Soft-processors Embedded in Systems on Programmable Chips. in 2009 Design, Automation Test in Europe Conference Exhibition, 2009, pp. 352–357

    Google Scholar 

  100. L. Benini, G. De Micheli, Networks on chips: a new soc paradigm. Computer 35(1), 70–78 (2002)

    Google Scholar 

  101. É. Cota, A. de Morais Amory, M. Soares Lubaszewski, Reliability, Availability and Serviceability of Networks-on-chip. Springer Science & Business Media, 2011

    Google Scholar 

  102. G. De Micheli, L. Benini, Networks on Chips: Technology and Tools (Academic Press, 2006)

    Google Scholar 

  103. C. Nicopoulos, S. Srinivasan, A. Yanamandra, D. Park, V. Narayanan, C.R. Das, M.J. Irwin, On the effects of process variation in network-on-chip architectures. IEEE Trans. Dependable Secure Comput. 7(3), 240–254 (2010)

    Article  Google Scholar 

  104. Y. Zorian, Guest editor’s introduction: what is infrastructure ip? IEEE Des. Test Comput. 19(3), 3–5 (2002)

    Article  Google Scholar 

  105. P.S. Bhojwani, R.N. Mahapatra, Robust concurrent online testing of network-on-chip-based socs. IEEE Trans. Very Large Scale Integr. VLSI Syst. 16(9), 1199–1209 (2008)

    Article  Google Scholar 

  106. A. Dalirsani, M.E. Imhof, H.J. Wunderlich, Structural software-based self-test of network-on-chip. in 2014 IEEE 32nd VLSI Test Symposium (VTS), 2014, pp. 1–6

    Google Scholar 

  107. C. Liu, K. Chakrabarty, Identification of error-capturing scan cells in scan-BIST with applications to system-on-chip. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 23(10), 1447–1459 (2004)

    Google Scholar 

  108. V. lyengar, K. Chakrabarty, E.J. Marinissen, Test access mechanism optimization, test scheduling, and tester data volume reduction for system-on-chip. IEEE Trans. Comput. 52(12), 1619–1632 (2003)

    Google Scholar 

  109. A. Manzone, P. Bernardi, M. Grosso, M. Rebaudengo, E. Sanchez, M.S. Reorda, Integrating BIST Techniques for On-line SoC Testing. in 11th IEEE International On-Line Testing Symposium, 2005, pp. 235–240

    Google Scholar 

  110. J. Raik, V. Govind, R. Ubar, An External Test Approach for Network-on-a-chip Switches. In 2006 15th Asian Test Symposium, 2006, pp. 437–442

    Google Scholar 

  111. B. Vermeulen, J. Dielissen, K. Goossens, C. Ciordas, Bringing communication networks on a chip: test and verification implications. IEEE Commun. Mag. 41(9), 74–81 (2003)

    Article  Google Scholar 

  112. K. Stewart, S. Tragoudas, Interconnect Testing for Networks on Chips. In 24th IEEE VLSI Test Symposium, 2006, 6 pp

    Google Scholar 

  113. A.M. Amory, E. Briao, E. Cota, M. Lubaszewski, F.G. Moraes, A Scalable Test Strategy for Network-on-chip Routers. in IEEE International Conference on Test, 2005, 2005, pp.9–599

    Google Scholar 

  114. C. Grecu, P. Pande, Baosheng Wang, A. Ivanov, R. Saleh, Methodologies and Algorithms for Testing Switch-based NoC Interconnects. in 20th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT’05), 2005, pp. 238–246

    Google Scholar 

  115. J. Raik, R. Ubar, V. Govind, Test Configurations for Diagnosing Faulty Links in NoC Switches. in 12th IEEE European Test Symposium (ETS’07), 2007, pp. 29–34

    Google Scholar 

  116. A. Alaghi, N. Karimi, M. Sedghi, Z. Navabi, Online NoC Switch Fault Detection and Diagnosis Using a High Level Fault Model. in IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007), 2007, pp. 21–29

    Google Scholar 

  117. D. Bertozzi, L. Benini, G. De Micheli, Error control schemes for on-chip communication links: the energy-reliability tradeoff. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(6), 818–831 (2005)

    Article  Google Scholar 

  118. S. Murali, T. Theocharides, N. Vijaykrishnan, M.J. Irwin, L. Benini, G. De Micheli, Analysis of error recovery schemes for networks on chips. IEEE Des. Test Comput. 22(5), 434–442 (2005)

    Article  Google Scholar 

  119. É. Cota, F.L. Kastensmidt, M. Cassel, M. Herve, P. Almeida, P. Meirelles, A. Amory, M. Lubaszewski, A High-fault-coverage Approach for the Test of Data, Control and Handshake Interconnects in Mesh Networks-on-chip. IEEE Trans. Comput. 57(9), 1202–1215 (2008)

    Google Scholar 

  120. C. Grecu, A. Ivanov, R. Saleh, P.P. Pande, Testing network-on-chip communication fabrics. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 26(12), 2201–2214 (2007)

    Article  Google Scholar 

  121. E. Cota, F.L. Kastensmidt, A. Amory, M. Cassel, M. Lubasweski, P. Meirelles, Redefining and testing interconnect faults in mesh NoCs. in IEEE International Test Conference, 2007, pp. 1–10

    Google Scholar 

  122. C. Bolchini, M. Carminati, M. Gribaudo, A. Miele, A lightweight and open-source framework for the lifetime estimation of multicore systems. in Proceedings of International Conference on Computer Design, 2014, pp. 166–172

    Google Scholar 

  123. Joint Electron Device Engineering Council, Failure Mechanisms and Models for Silicon Semiconductor Devices. Technical Report JEP122G, 2011

    Google Scholar 

  124. Y. Xiang, T. Chantem, R.P. Dick, X.S. Hu, L. Shang, System-level Reliability Modeling for MPSoCs. in Proceeding of Conferences on Hardware/Software Codesign and System Synthesis (CODES), 2010, pp. 297–306

    Google Scholar 

  125. L. Huang, Q. Xu, AgeSim: A Simulation Framework for Evaluating the Lifetime Reliability of Processor-based SoCs. in Proceedings of Conference on Design, Automation Test in Europe (DATE), 2010, pp. 51–56

    Google Scholar 

  126. L. Huang, F. Yuan, Q. Xu, On Task allocation and scheduling for lifetime extension of platform-based MPSoC designs. IEEE Trans. Parallel Distrib. Syst. 22(12), 2088–2099 (2011)

    Google Scholar 

  127. I. Ukhov, M. Bao, P. Eles, Z. Peng, Steady-state Dynamic Temperature Analysis and Reliability Optimization for Embedded Multiprocessor Systems. in Proceedings of Design Automation Conference (DAC), 2012, pp. 197–204

    Google Scholar 

  128. JEDEC Solid State Technology Association. http://www.jedec.org

  129. J.R. Black, Electromigation—a brief survey and some recent results. IEEE Trans. Electron Devices 16(4), 338–347 (1969)

    Article  Google Scholar 

  130. J. Srinivasan, S.V. Adve, P. Bose, J.A. Rivers, Lifetime reliability: toward an architectural solution. IEEE Micro 25(3), 70–80 (2005)

    Article  Google Scholar 

  131. Y. Zhang, M.L. Dunn, K. Gall, J.W. Elam, S.M. George, Suppression of inelastic deformation of nanocoated thin film microstructures. AIP J. Appl. Phys. 95(12), 8216–8225 (2004)

    Article  Google Scholar 

  132. M. Ciappa, F. Carbognani, W. Fichtner, Lifetime prediction and design of reliability tests for high-power devices in automotive applications. IEEE Trans. Device Mater. Reliab. 3(4), 191–196 (2003)

    Article  Google Scholar 

  133. B.L. Amstadter, Reliability Mathematics: Fundamentals, Practices, Procedures (McGraw-Hill, NY, 1977)

    Google Scholar 

  134. Joint Electron Device Engineering Council, Method for Developing Acceleration Models for Electronic Component Failure Mechanisms. Technical Report JESD91A, 2003

    Google Scholar 

  135. Y. Zhang, M.L. Dunn, K. Gall, J.W. Elam, S.M. George, The electromigration failure distribution: the fine-line case. J. Appl. Phys. 69(4), 2117–2127 (1991)

    Article  Google Scholar 

  136. R. Degraeve, G. Groeseneken, R. Bellens, M. Depas, H.E. Maes. A Consistent Model for the Thickness Dependence of Intrinsic Breakdown in Ultra-thin OXIDEs. in International Electron Devices Meeting, 1995, pp. 863–866

    Google Scholar 

  137. H. Liu, Reliability of a load-sharing k-out-of-n: G system: non-iid components with arbitrary distributions. Trans. Reliab. 47(3), 279–284 (1998)

    Article  MathSciNet  Google Scholar 

  138. S.D. Dowling, D.F. Socie, Simple rainflow counting algorithms. Int. Journal of Fatigue 4(1), 31–40 (1983)

    Article  Google Scholar 

  139. N.E. Dowling. Mechanical Behavior of Materials. (Pearson/Prentice Hall, 3rd ed., 2007)

    Google Scholar 

  140. K.S. Trivedi, Probability and Statistics with Reliability, Queuing and Computer Science Applications (Wiley, Chichester, UK, 2nd ed., 2002)

    Google Scholar 

  141. S. Corbetta, D. Zoni, and W. Fornaciari. A Temperature and Reliability Oriented Simulation Framework for Multi-core Architectures. in International Symposium on VLSI, 2012, pp. 51–56

    Google Scholar 

  142. E. Karl, D. Blaauw, D. Sylvester, T. Mudge, Multi-mechanism reliability modeling and management in dynamic systems. Trans. VLSI Syst. 16(4), 476–487 (2008)

    Article  Google Scholar 

  143. C. Bolchini, L. Cassano, A. Miele, Lifetime-aware Load Distribution Policies in Multi-core Systems: An In-depth Analysis. in Proceedings of International Conference on Design, Automation and Testing in Europe (DATE), 2016, pp. 804–809

    Google Scholar 

  144. Reliability-metric varieties and their relationships. in Proceedings of Reliability and Maintainability symposium, 2001

    Google Scholar 

  145. K.S. Trivedi, Probability and Statistics with Reliability, Queuing and Computer Science Applications (Wiley, 2nd ed., 2002)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Cristiana Bolchini .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer International Publishing AG

About this chapter

Cite this chapter

Bolchini, C., Michael, M.K., Miele, A., Neophytou, S. (2018). Dependability Threats. In: Ottavi, M., Gizopoulos, D., Pontarelli, S. (eds) Dependable Multicore Architectures at Nanoscale. Springer, Cham. https://doi.org/10.1007/978-3-319-54422-9_2

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-54422-9_2

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-54421-2

  • Online ISBN: 978-3-319-54422-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics