Skip to main content

Manufacturing Threats

  • Chapter
  • First Online:
Dependable Multicore Architectures at Nanoscale

Abstract

This chapter introduces an overview of the main reliability threats of last nanoscale generations of CMOS technology designs. In particular, the chapter focuses on sources of process variability and their impact on circuit design and their performances, but also on the runtime variability such as voltage fluctuations as well soft errors. Further to that we go over the transistor aging provoked by different wear-out physical effects such as Bias Temperature Instability (BTI), Hot Carrier Injection (HCI), Random Telegraph Noise (RTN) and Time-Dependent Dielectric Breakdown (TDDB).

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. International technology roadmap of semiconductors (itrs). http://www.itrs.net

  2. R. Doering, Y. Nishi, Limits of integrated-circuit manufacturing. Proc. IEEE 89(3), 375–393 (2001)

    Article  Google Scholar 

  3. A.J. Bhavnagarwala, X. Tang, J.D Meindl, The impact of intrinsic device fluctuations on CMOS SRAM cell stability. IEEE J. Solid-State Circ. 36(4), 658–665 (2001)

    Google Scholar 

  4. V. Huard, E. Pion, F. Cacho, D. Croain, V. Robert, R. Delater, P. Mergault, S. Engels, L. Anghel, N. Ruiz Amador. A predictive bottom-up hierarchical approach to digital system reliability. In IEEE International Reliability Physics Symposium (IRPS’12), (IEEE Computer Society, 2012), pp 4B–1

    Google Scholar 

  5. S. Taylor et al., Power7+: IBM’s next generation POWER microprocessor. In Hot Chips, vol 24, 2012

    Google Scholar 

  6. M.A. Alam, K. Roy, C. Augustine, Reliability-and process-variation aware design of integrated circuits—a broader perspective. In Reliability Physics Symposium (IRPS), 2011 IEEE International, IEEE, 2011, pp. 4A–1

    Google Scholar 

  7. S. Mitra, K. Brelsford, Y.M. Kim, H.-H. Lee, Y. Li, Robust system design to overcome CMOS reliability challenges. IEEE J. Emerg. Sel. Top. Circ. Syst. 1(1), 30–41, 2011

    Google Scholar 

  8. R. Reis, Y. Cao, G. Wirth, Circuit Design for Reliability. (Springer, 2014)

    Google Scholar 

  9. J.B. Bernstein, M. Gurfinkel, L. Xiaojun, J. Walters, Y. Shapira, M. Talmor, Electronic circuit reliability modeling. Microelectron. Reliab. 46(12), 1957–1979 (2006)

    Article  Google Scholar 

  10. M. Orshansky, S. Nassif, D. Boning, Design for Manufacturability and Statistical Design: A Constructive Approach. (Springer, 2007)

    Google Scholar 

  11. M. Orshansky, C. Spanos, C. Hu, Circuit performance variability decomposition. In Statistical Metrology, 1999. IWSM. 1999 4th International Workshop on IEEE, 1999, pp. 10–13

    Google Scholar 

  12. A. Asenov, S. Kaya, A.R. Brown, Intrinsic parameter fluctuations in decananometer MOSFETs introduced by gate line edge roughness. IEEE Trans. Electron Devices, 50(5), 1254–1260 (2003)

    Google Scholar 

  13. K. Kuhn, C. Kenyon, A. Kornfeld, M. Liu, A. Maheshwari, W.-K. Shih, S. Sivakumar, G. Taylor, P. Van Der Voorn, K. Zawadzki, Managing process variation in Intels 45 nm CMOS technology. Intel Techno. J. 12(2), 2008

    Google Scholar 

  14. M. Koh, W. Mizubayashi, K. Iwamoto, H. Murakami, T. Ono, M. Tsuno, T. Mihara, K. Shibahara, S. Miyazaki, M. Hirose, Limit of gate oxide thickness scaling in MOSFETs due to apparent threshold voltage fluctuation induced by tunnel leakage current. IEEE Trans. Electron Devices 48(2), 259–264 (2001)

    Article  Google Scholar 

  15. H. Mahmoodi, S. Mukhopadhyay, K. Roy, Estimation of delay variations due to random-dopant fluctuations in nanoscale CMOS circuits. IEEE J Solid-State Circ 40(9), 1787–1796 (2005)

    Article  Google Scholar 

  16. K.J. Kuhn, Reducing variation in advanced logic technologies: approaches to process and design for manufacturability of nanoscale CMOS. In IEEE International Electron Devices Meeting, 2007. IEDM 2007, IEEE, 2007, pp. 471–474

    Google Scholar 

  17. S. Xiong, J. Bokor, Sensitivity of double-gate and FinFETDevices to process variations. IEEE Trans. Electron Devices 50(11), 2255–2261 (2003)

    Article  Google Scholar 

  18. M.J.M. Pelgrom, A.C.J. Duinmaijer, A.P.G. Welbers et al., Matching properties of MOS transistors. IEEE J. Solid-State Circ. 24(5), 1433–1439 (1989)

    Article  Google Scholar 

  19. S. Zafar, Y.H. Kim, V. Narayanan, C. Cabral, V. Paruchuri, B. Doris, J. Stathis, A. Callegari, M. Chudzik, A comparative study of NBTI and PBTI (charge trapping) in Sio2/HfO2 stacks with FUSI, TiN, Re gates. In VLSI Technology, 2006. Digest of Technical Papers. IEEE

    Google Scholar 

  20. J.S. Stathis, M. Wang, K. Zhao, Reliability of advanced high-k/metal-gate n-FET devices. Microelectron. Reliab. 50(9), 1199–1202 (2010)

    Article  Google Scholar 

  21. M. Denais, C. Parthasarathy, G. Ribes, Y. Rey-Tauriac, N. Revil, A. Bravaix, V. Huard, F. Perrier, on-the-fly characterization of NBTI in ultra-thin gate oxide PMOSFET’s. In IEEE International Electron Devices Meeting, 2004. IEDM Technical Digest, IEEE, 2004, pp. 109–112

    Google Scholar 

  22. V. Huard, C.R. Parthasarathy, A. Bravaix, T. Hugel, C. Guérin, E. Vincent, Design-in-reliability approach for nbti and hot-carrier degradations in advanced nodes. IEEE Trans. Device Mater. Reliab. 4(7), 558–570 (2007)

    Article  Google Scholar 

  23. W. Wang, V. Reddy, A.T. Krishnan, R. Vattikonda, S. Krishnan, Y. Cao (2007) Compact modeling and simulation of circuit reliability for 65-nm cmos technology. IEEE Trans. Device Mater. Reliab. 7(4), 509–517, 2007

    Google Scholar 

  24. S. Bhardwaj, W. Wang, R. Vattikonda, Y. Cao, S.A.V.S. Vrudhula. Predictive modeling of the NBTI effect for reliable design. In Custom Integrated Circuits Conference IEEE, 2006. CICC’06. IEEE, 2006, pp. 189–192

    Google Scholar 

  25. T. Naphade, N. Goel, P.R. Nair, S. Mahapatra, investigation of stochastic implementation of reaction diffusion (rd) models for NBTI related interface trap generation. In Reliability Physics Symposium (IRPS), 2013 IEEE International IEEE, 2013, pp. XT–5

    Google Scholar 

  26. V. Huard, C. Parthasarathy, C. Guerin, T. Valentin, E. Pion, M. Mammasse, N. Planes, L. Camus, Nbti Degradation: from transistor to SRAM arrays. In Reliability Physics Symposium, 2008. IRPS 2008. IEEE International, IEEE, 2008, pp. 289–300

    Google Scholar 

  27. B. Kaczer, T. Grasser, P.J. Roussel, J. Franco, R. Degraeve, L-A. Ragnarsson, E. Simoen, G. Groeseneken, H. Reisinger, origin of NBTI variability in deeply scaled pFETs. In IEEE Reliability Physics Symposium (IRPS), 2010 IEEE International, 2010, pp. 26–32

    Google Scholar 

  28. M. Wang, R. Muralidhar, J.H. Stathis, B. Paul Linder, H. Jagannathan, J. Faltermeier. Superior PBTI reliability for SOI FinFET technologies and its physical understanding. IEEE Electron Device Lett. 34(7), 837–839 (2013)

    Google Scholar 

  29. K. Taek Lee, W. Kang, E-A. Chung, G. Kim, H. Shim, H. Lee, H. Kim, M. Choe, N-I. Lee, A. Patel et al., Technology scaling on high-K & metal-gate FinFET BTI reliability. In IEEE Reliability Physics Symposium (IRPS), 2013 IEEE International, 2013, pp. 2D–1

    Google Scholar 

  30. M. Salvia, (2015) Dedicated Circuits for study Aging induced mecanisms in advanced CMSO technologies, Design and Measures. Ph.D. Thesis, 2015

    Google Scholar 

  31. C. Shen, M-F. Li, C.E. Foo, T. Yang, D.M. Huang, A. Yap, G.S. Samudra, Y.C. Yeo, Characterization and physical origin of fast vth transient in NBTI of pMOSFETs with SiON dielectric. In IEEE International Electron Devices Meeting, 2006. IEDM’06, 2006, pp. 1–4

    Google Scholar 

  32. T. Grasser, B. Kaczer, W. Goes, H. Reisinger, T. Aichinger, P. Hehenberger, P.-J. Wagner, F. Schanovsky, J. Franco, M.T. Luque et al., The paradigm shift in understanding the bias temperature instability: from reaction–diffusion to switching oxide traps. IEEE Trans. Electron Devices 58(11), 3652–3666 (2011)

    Article  Google Scholar 

  33. V. Reddy, J.M. Carulli, A.T. Krishnan, W. Bosch, B. Burgess, Impact of negative bias temperature instability on product parametric drift. In International Tset Conference (ITC), Citeseer, 2004, pp. 148–155

    Google Scholar 

  34. P. Weckx, B. Kaczer, M. Toledano-Luque, T. Grasser, P.J. Roussel, H. Kukner, P. Raghavan, F. Catthoor, G. Groeseneken, Defect-based methodology for workload-dependent circuit lifetime projections-application to sram. In IEEE Reliability Physics Symposium (IRPS), 2013 IEEE International, 2013, pp. 3A–4

    Google Scholar 

  35. S. Mahapatra, V. Huard, A. Kerber, V. Reddy, S. Kalpat, A. Haggag, Universality of NBTI-from devices to circuits and products. In IEEE Reliability Physics Symposium, 2014 IEEE International, 2014, pp. 3B–1

    Google Scholar 

  36. T. Grasser, B. Kaczer, W. Goes, H. Reisinger, T. Aichinger, P. Hehenberger, P-J. Wagner, F. Schanovsky, J. Franco, P. Roussel et al., Recent advances in understanding the bias temperature instability. In IEEE Electron Devices Meeting (IEDM), 2010 IEEE International, 2010, pp. 4–4

    Google Scholar 

  37. B. Kaczer, S. Mahato, V. Valduga de Almeida Camargo, M. Toledano-Luque, P.J. Roussel, T. Grasser, F. Catthoor, P. Dobrovolny, P. Zuber, G. Wirth et al., Atomistic approach to variability of bias-temperature instability in circuit simulations. In IEEE Reliability Physics Symposium (IRPS), 2011 IEEE International, 2011, pp. XT–3

    Google Scholar 

  38. J. Franco, B. Kaczer, M. Toledano-Luque, P.J. Roussel, Jerome Mitard, L-A Ragnarsson, L. Witters, T. Chiarella, M. Togo, N. Horiguchi et al., Impact of single charged gate oxide defects on the performance and scaling of nanoscaled fets. In IEEE Reliability Physics Symposium (IRPS), 2012 IEEE International, pp. 5A–4, 2012

    Google Scholar 

  39. H. Reisinger, T. Grasser, W. Gustin, C. Schlunder, The statistical analysis of individual defects constituting NBTI and its implications for modeling DC-and AC-stress. In IEEE Reliability Physics Symposium (IRPS), 2010 IEEE International, 2010, pp. 7–15

    Google Scholar 

  40. T. Grasser, P-J. Wagner, H. Reisinger, T. Aichinger, G. Pobegen, M. Nelhiebel, B. Kaczer, Analytic modeling of the bias temperature Instability using capture/emission time maps. In IEEE Electron Devices Meeting (IEDM), 2011 IEEE International, 2011, pp. 27–4

    Google Scholar 

  41. D. Angot, V. Huard, L. Rahhal, A. Cros, X. Federspiel, A. Bajolet, Y. Carminati, M. Saliva, E. Pion, F. Cacho et al., BTI variability fundamental understandings and impact on digital logic by the use of extensive dataset. In Proceeding of IEEE International Electron Devices Meeting (IEDM), 2013, pp. 15–4

    Google Scholar 

  42. M. Toledano-Luque, B. Kaczer, J. Franco, P.J. Roussel, M. Bina, T. Grasser, M. Cho, P. Weckx, and G. Groeseneken. Degradation of time dependent variability due to interface state generation. In 2013 Symposium on VLSI Technology (VLSIT), 2013, pp. T190–T191

    Google Scholar 

  43. T. Matsukawa, Y. Liu, W. Mizubayashi, J. Tsukada, H. Yamauchi, K. Endo, Y. Ishikawa, S. O’uchi, H. Ota, S. Migita, Y. Morita, M. Masahara, Suppressing Vt and Gm variability of FinFETs using amorphous metal gates for 14 nm and beyond. In 2012 IEEE International Electron Devices Meeting (IEDM), 2012, pp. 8.2.1–8.2.4

    Google Scholar 

  44. A. Veloso, G. Boccardi, L.-A. Ragnarsson, Y. Higuchi, J.W. Lee, E. Simoen, P.J. Roussel, M.J. Cho, S.A. Chew, T. Schram, H. Dekkers, A. Van Ammel, T. Witters, S. Brus, A. Dangol, V. Paraschiv, E. Vecchio, X. Shi, F. Sebaai, K. Kellens, N. Heylen, K. Devriendt, O. Richard, H. Bender, T. Chiarella, H. Arimura, A. Thean, and N. Horiguchi. Highly scalable effective work function engineering approach for multi-V T modulation of planar and FinFET-based RMG high-K last devices for (sub-)22 nm nodes. In 2013 Symposium on VLSI Technology (VLSIT), 2013, pp. T194–T195

    Google Scholar 

  45. X. Yuan, T. Shimizu, U. Mahalingam, J.S. Brown, K.Z. Habib, D.G. Tekleab, Tai-Chi Su, S. Satadru, C.M. Olsen, Hyun-Woo Lee, Li-Hong Pan, T.B. Hook, J-P. Han, J-E. Park, M-H. Na, K. Rim, Transistor mismatch properties in deep-submicrometer CMOS technologies. IEEE Trans. Electron Devices 58(2), 335–342 (2011)

    Google Scholar 

  46. M. Cho, J.-D. Lee, M. Aoulaiche, B. Kaczer, P. Roussel, T. Kauerauf, R. Degraeve, J. Franco, L. Ragnarsson, G. Groeseneken, Insight into N/PBTI mechanisms in sub-1-nm-EOT devices. IEEE Trans. Electron Devices 59(8), 2042–2048 (2012)

    Google Scholar 

  47. J. Franco, B. Kaczer, P.J. Roussel, J. Mitard, S. Sioncke, L. Witters, H. Mertens, T. Grasser, G. Groeseneken, Understanding the suppressed charge trapping in relaxed- and strained-Ge/SiO2/HfO2 pMOSFETs and implications for the screening of alternative high-mobility substrate/dielectric CMOS gate stacks. In 2013 IEEE International Electron Devices Meeting (IEDM), 2013, pp. 15.2.1–15.2.4

    Google Scholar 

  48. K.-L. Chen, S.A. Saller, I.A. Groves, D.B. Scott, Reliability effects on MOS transistors due to hot-carrier injection. IEEE J. Solid-State Circ. 20(1), 306–313 (1985)

    Google Scholar 

  49. A. Bravaix, C. Guerin, V. Huard, D. Roy, J.-M. Roux, E. Vincent, Hot-carrier acceleration factors for low power management in DC–AC stressed 40 nm NMOS node at high temperature. In IEEE Reliability Physics Symposium, 2009 IEEE International, pp. 531–548. 2009

    Google Scholar 

  50. A. Tiwari, J. Torrellas, Facelift: Hiding and slowing down aging in multicores. In Microarchitecture, IEEE/ACM International Symposium, 2008, pp. 129–140

    Google Scholar 

  51. E. Takeda, C.Y.-W. Yang, A. Miura-Hamada, Hot-carrier effects in MOS devices (Academic Press, 1995)

    Google Scholar 

  52. Predictive Technology Model. http://ptm.asu.edu/

  53. W.-K. Yeh, W.-H. Wang, Y.-K. Fang, F.-L. Yang, Temperature dependence of hot-carrier-induced degradation in 0.1 μm SOI nMOSFETs with thin oxide. IEEE Electron Device Lett. 23(7), 425–427 (2002)

    Google Scholar 

  54. F. Cacho, P. Mora, W. Arfaoui, X. Federspiel, V. Huard, Hci/bti coupled model: the path for accurate and predictive reliability simulations. In IEEE Reliability Physics Symposium, 2014 IEEE International, 2014, pp. 5D–4

    Google Scholar 

  55. X. Chen, Y. Wang, Y. Cao, H. Yang, Statistical analysis of random telegraph noise in digital circuits. In IEEE Design Automation Conference (ASP-DAC), 2014 19th Asia and South Pacific, 2014, pp. 161–166

    Google Scholar 

  56. T. Matsumoto, K. Kobayashi, H. Onodera, Impact of random telegraph noise on CMOS logic circuit reliability. In 2014 IEEE Proceedings of the IEEE Custom Integrated Circuits Conference (CICC), 2014, pp. 1–8

    Google Scholar 

  57. X. Wang, P.R. Rao, A. Mierop, A.J.P. Theuwissen, Random telegraph signal in CMOS image sensor pixels. In IEEE Electron Devices Meeting, 2006. IEDM’06. International, 2006, pp. 1–4

    Google Scholar 

  58. M. Yamaoka, H. Miki, A. Bansal, S. Wu, D.J. Frank, E. Leobandung, K. Torii, Evaluation methodology for random telegraph noise effects in SRAM arrays. In 2011 International Electron Devices Meeting, 2011

    Google Scholar 

  59. K. Fukuda, Y. Shimizu, K. Amemiya, M. Kamoshida, C. Hu, Random telegraph noise in flash memories-model and technology scaling. In IEEE Electron Devices Meeting, 2007. IEDM 2007. IEEE International, 2007, pp. 169–172

    Google Scholar 

  60. N. Tega, H. Miki, Z. Ren, P.D. Christoper, Y. Zhu, D.J. Frank, M.A. Guillorn, D.-G. Park, W. Haensch, K. Torii, Impact of HK/MG stacks and future device scaling on rtn. In IEEE Reliability Physics Symposium (IRPS), 2011 IEEE International, 2011, pp. 6A–5

    Google Scholar 

  61. N. Tega, H. Miki, F. Pagette, D.J. Frank, A. Ray, M.J. Rooks, W. Haensch et al., Increasing threshold voltage variation due to random telegraph noise in FETs as gate lengths scale to 20 nm. In VLSI Technology, 2009 Symposium on IEEE, 2009, pp. 50–51

    Google Scholar 

  62. K. Ito, T. Matsumoto, S. Nishizawa, H. Sunagawa, K. Kobayashi, H. Onodera, The impact of RTN on performance fluctuation in CMOS logic circuits. Population 50, 100 (2011)

    Google Scholar 

  63. T. Matsumoto, K. Kobayashi, H. Onodera, Impact of random telegraph noise on CMOS logic delay uncertainty under low voltage operation. In IEEE Electron Devices Meeting (IEDM), 2012 IEEE International, 2012, pp. 25–6

    Google Scholar 

  64. M. Luo, R. Wang, S. Guo, J. Wang, J. Zou, R. Huang, Impacts of random telegraph noise (RTN) on digital circuits. IEEE Trans. Electron Devices 62(6), 1725–1732 (2015)

    Article  Google Scholar 

  65. L. Gerrer, J. Ding, S.M. Amoroso, F. Adamu-Lema, R. Hussin, D. Reid, C. Millar, A. Asenov, Modelling RTN and BTI in nanoscale MOSFETs from device to circuit: a review. Microelectron. Reliab. 54(4), 682–697, 2014

    Google Scholar 

  66. K. Ito, T. Matsumoto, S. Nishizawa, H. Sunagawa, K. Kobayashi, H. Onodera, Modeling of random telegraph noise under circuit operation—simulation and measurement of RTN-induced delay fluctuation. In 2011 12th International Symposium on IEEE Quality Electronic Design (ISQED), 2011, pp. 1–6

    Google Scholar 

  67. J.P. Campbell, J. Qin, K.P. Cheung, L.C. Yu, J.S. Suehle, A. Oates, K. Sheng, Random telegraph noise in highly scaled nMOSFETs. In IEEE Reliability Physics Symposium, 2009 IEEE International, 2009, pp. 382–388

    Google Scholar 

  68. S. Realov, K.L. Shepard, Analysis of random telegraph noise in 45-nm CMOS using on-chip characterization system. IEEE Trans. Electron Devices 60(5), 1716–1722 (2013)

    Google Scholar 

  69. A. Ghetti, C. Monzio Compagnoni, F. Biancardi, A.L. Lacaita, S. Beltrami, L. Chiavarone, A.S. Spinelli, A. Visconti, Scaling trends for random telegraph noise in deca-nanometer flash memories. In IEEE Electron Devices Meeting, 2008. IEDM 2008. IEEE International, pp. 1–4 (2008)

    Google Scholar 

  70. K. Takeuchi, T. Nagumo, S. Yoko Gawa, K. Imai, Y. Hayashi, Single-charge-based modeling of transistor characteristics fluctuations based on statistical measurement of RTN amplitude. In VLSI Technology, 2009 Symposium on IEEE, 2009, pp. 54–55

    Google Scholar 

  71. N. Tega, H. Miki, Z. Ren, C. Emic, Y. Zhu, D.J. Frank, J. Cai, M.A. Guillorn, D.-G. Park, W. Haensch et al., Reduction of random telegraph noise in high-κ /metal-gate stacks for 22 nm generation FETs. In IEEE Electron Devices Meeting (IEDM), 2009 IEEE International, 2009, pp. 1–4

    Google Scholar 

  72. L. Gerrer, S. Maria Amoroso, P. Asenov, J. Ding, B. Cheng, F. Adamu-Lema, S. Markov, A. Asenov, D. Reid, C. Millar, Interplay between statistical reliability and variability: a comprehensive transistor-to-circuit simulation technology. In Proceedings of Reliability Physics Symposium (IRPS) A, volume 3, 2013

    Google Scholar 

  73. J. Martin-Martinez, J. Diaz, R. Rodriguez, M. Nafria, X. Aymerich, E. Roca, F.V. Fernandez, A. Rubio, Characterization of random telegraph noise and its impact on reliability of SRAM sense amplifiers. In CMOS Variability (VARI), 2014 5th European Workshop on IEEE, 2014, pp. 1–6

    Google Scholar 

  74. B. Zimmer, O. Thomas, S.O. Toh, T. Vincent, K. Asanovic, B. Nikolic, Joint impact of random variations and RTN on dynamic writeability in 28 nm bulk and FDSOI SRAM. In Solid State Device Research Conference (ESSDERC), 2014 44th European IEEE, IEEE, pp. 98–101

    Google Scholar 

  75. M. Choudhury, V. Chandra, K. Mohanram, R. Aitken, Analytical model for tddb-based performance degradation in combinational logic. In Design, Automation & Test in Europe Conference & Exhibition, 2010, IEEE, pp. 423–428, 2010

    Google Scholar 

  76. B. Kaczer, R. Degraeve, R. O’Connor, P. Roussel, G. Groeseneken, Implications of progressive wear-out for lifetime extrapolation of ultra-thin (EOT 1 nm) sion films. In International Electron Devices Meeting, pp. 713–716, 2004

    Google Scholar 

  77. K.F. Schuegraf, C. Hu, Hole injection SiO2 breakdown model for very low voltage lifetime extrapolation. IEEE Trans. Electron Devices 41(5), 761–767 (1994)

    Article  Google Scholar 

  78. J.W. McPherson, H.C. Mogul, Underlying physics of the thermochemical e model in describing low-field time-dependent dielectric breakdown in SiO2 thin films. J. Appl. Phys. 84, 1513–1523 (1998)

    Article  Google Scholar 

  79. D.J. DiMaria, E. Cartier, Mechanism for stress-induced leakage currents in thin silicon dioxide films. J. Appl. Phys. 78(6), 3883–3894 (1995)

    Article  Google Scholar 

  80. E.Y. Wu, J. Suné, Power-law voltage acceleration: a key element for ultra-thin gate oxide reliability. Microelectron. Reliab. 45(12), 1809–1834 (2005)

    Article  Google Scholar 

  81. E.Y. Wu, W.W. Abadeer, L.-K. Han, S.-H. Lo, G. Hueckel, Challenges for accurate reliability projections in the ultra-thin oxide regime. In IEEE/IRPS, pp. 57–65, 1999

    Google Scholar 

  82. K. Arabi, R. Saleh, X. Meng, Power supply noise in SoCs: metrics, management, and measurement. IEEE Des. Test Comput. 24(3), 236–244 (2007)

    Article  Google Scholar 

  83. S.R. Nassif, Power grid analysis benchmarks. In Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC), 2008, pp. 376–381

    Google Scholar 

  84. K. Haghdad, M. Anis, Power yield analysis under process and temperature variations. IEEE Trans. Very Large Scale Integr. Syst. (TVLSI) 99, 1–10 (2011)

    Google Scholar 

  85. S. Mukherjee, Architecture Design for Soft Errors (Morgan Kaufmann, 2011)

    Google Scholar 

  86. P. Shivakumar, M. Kistler, S. W. Keckler, D. Burger, L. Alvisi. Modeling the effect of technology trends on the soft error rate of combinational logic. In Proceedings of the International Conference on Dependable Systems and Networks, 2002, pp. 23–26

    Google Scholar 

  87. B.D. Sierawski, J.A. Pellish, R.A. Reed, R.D. Schrimpf, K.M. Warren, R.A. Weller, M.H. Mendenhall, J.D. Black, A.D. Tipton, M.A. Xapsos et al., Impact of low-energy proton induced upsets on test methods and rate predictions. T-NS 56(6), 3085–3092 (2009)

    Google Scholar 

  88. D.F. Heidel, P.W. Marshall, K.A. LaBel, J.R. Schwank, K.P. Rodbell, M.C. Hakey, M.D. Berg, P.E. Dodd, M.R. Friendlich, A.D. Phan et al., Low energy proton single-event-upset test results on 65 nm SOI SRAM. T-NS 55(6), 3394–3400 (2008)

    Google Scholar 

  89. K.P. Rodbell, D.F. Heidel, H.H.K. Tang, M.S. Gordon, P. Oldiges, C.E. Murray, Low-energy proton-induced single-event-upsets in 65 nm node, silicon-on-insulator, latches and memory cells. T-NS 54(6), 2474–2479 (2007)

    Google Scholar 

  90. http://en.wikipedia.org/wiki/Muon. Accessed 21 Jan 2015

  91. J-L. Autran, S. Semikh, D. Munteanu, S. Serre, G. Gasiot, P. Roche, Soft-error Rate of Advanced SRAM Memories: Modeling and Monte Carlo Simulation (Numerical Simulation: From Theory to Industry, 2012)

    Google Scholar 

  92. F. Lei, S. Clucas, C. Dyer, P. Truscott, An atmospheric radiation model based on response matrices generated by detailed Monte Carlo simulations of cosmic ray interactions. IEEE Trans. Nucl. Sci. 51(6), 3442–3451 (2004)

    Article  Google Scholar 

  93. G.A. Sai-Halasz, M.R. Wordeman, R.H. Dennard, Alpha-particle-induced soft error rate in vlsi circuits. T-ED 29(4), 725–731 (1982)

    Google Scholar 

  94. R.C. Baumann, Radiation-induced soft errors in advanced semiconductor technologies. IEEE Trans. Device Mater. Reliab. 5(3), 305–316 (2005)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Mehdi B. Tahoori .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer International Publishing AG

About this chapter

Cite this chapter

Kiamehr, S., Tahoori, M.B., Anghel, L. (2018). Manufacturing Threats. In: Ottavi, M., Gizopoulos, D., Pontarelli, S. (eds) Dependable Multicore Architectures at Nanoscale. Springer, Cham. https://doi.org/10.1007/978-3-319-54422-9_1

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-54422-9_1

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-54421-2

  • Online ISBN: 978-3-319-54422-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics