Skip to main content

Electromigration

  • Chapter
  • First Online:
On-Chip Power Delivery and Management

Abstract

The power current requirements of integrated circuits are rapidly rising, as discussed in Chap. 1 and throughout this book. The current density in on-chip power and ground lines can reach several hundred thousands of amperes per square centimeter.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 139.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 179.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 249.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. J.J. Clement, Electromigration reliability, in Design of High-Performance Microprocessor Circuits, ed. by A.P. Chandrakasan, W.J. Bowhill, F. Fox (IEEE Press, New York, 2001), Chapter 20, pp. 429–448

    Google Scholar 

  2. I.A. Blech, H. Sello, Mass Transport of Aluminum by Momentum Exchange with Conducting Electrons. USAF-RADC Series, United State Air Force - Rome Air Development Center. Located at the Rome Research Site on the Griffiss Business and Technology Park (former Griffiss AFB) at Rome, New York, vol. 5 (1966), pp. 496–505

    Google Scholar 

  3. J.R. Black, Mass transport of aluminum by moment exchange with conducting electrons, in Proceedings of the IEEE International Reliability Physics Symposium, pp. 148–159, Apr 1967

    Google Scholar 

  4. F.M. D’Heurle, Electromigration and failure in electronics: an introduction. Proc. IEEE 59(10), 1409–1417 (1971)

    Article  Google Scholar 

  5. C. Ryu, K.-W. Kwon, A.L.S. Loke, H.Lee, T. Nogami, V.M. Dubin, R.A. Kavari, G.W. Ray, S.S. Wong, Microstructure and reliability of copper interconnects. IEEE Trans. Electron Devices 46(6), 1113–1120 (1999)

    Article  Google Scholar 

  6. M.J. Attardo, R. Rosenberg, Electromigration damage in aluminum film conductors. J. Appl. Phys. 41(5), 2381–2386 (1970)

    Article  Google Scholar 

  7. C.-K. Hu, R. Rosenberg, H.S. Rathore, D.B. Nguyen, B. Agarwala, Scaling effect on electromigration in on-chip Cu wiring, in Proceedings on the IEEE International Conference on Interconnect Technology, pp. 267–269, May 1999

    Google Scholar 

  8. R.H. Havemann, J.A. Hutchby, High-performance interconnects: an integration overview. Proc. IEEE 89, 586–601 (2001)

    Article  Google Scholar 

  9. F.G. Yost, D.E. Amos, A.D. Romig, Jr., Stress-driven diffusive voiding of aluminum conductor lines, in Proceedings of the IEEE International Reliability Physics Symposium, pp. 193–201, Apr 1989

    Google Scholar 

  10. I.A. Blech, K. L. Tai, Measurements of stress gradients generated by electromigration. Appl. Phys. Lett. 30(8), 387–389 (1977)

    Article  Google Scholar 

  11. I.A. Blech, Electromigration in thin aluminum films on titanium nitride. J. Appl. Phys. 47(4), 1203–1208 (1976)

    Article  Google Scholar 

  12. R.G. Filippi, R.A. Wachnik, H. Aochi, J.R. Lloyd, M.A. Korhonen, The effect of current density and stripe length on resistance saturation during electromigration testing. Appl. Phys. Lett. 69(16), 2350–2352 (1996)

    Article  Google Scholar 

  13. P. Børgesen, M.A. Korhonen, D.D. Brown, C.-Y. Li, H.S. Rathore, P.A. Totta, Stress evolution during stress migration and electromigration in passivated interconnect lines, in Proceedings of the American Institute of Physics Conference, vol. 305, pp. 231–253, June 1994

    Google Scholar 

  14. J.J. Clement, J.R. Lloyd, C.V. Thompson, Failure in tungsten-filled via structures, in Proceedings of the Materials Research Society, vol. 391, pp. 423–428, Apr 1995

    Google Scholar 

  15. B.N. Argarwala, M.J. Attardo, A.J. Ingraham, Dependence of electromigration-induced failure time on length and width of aluminum thin film conductors. J. Appl. Phys. 41, 3954–3960 (1970)

    Article  Google Scholar 

  16. J. Cho, C.V. Thompson, Grain size dependence of electromigration-induced failures in narrow interconnects. Appl. Phys. Lett. 54(25), 2577–2579 (1989)

    Article  Google Scholar 

  17. J.R. Black, Electromigration—a brief survey and some recent results. IEEE Trans. Electron Devices 42, 338–347 (1969)

    Article  Google Scholar 

  18. J.J. Clement, Electromigration modeling for integrated circuit interconnect relibility analysis. IEEE Trans. Device Mater. Reliab. 1(1), 33–42, (2001)

    Article  MathSciNet  Google Scholar 

  19. J.M. Towner, E.P. Van de Ven, Aluminum electromigration under pulsed DC conditions, in Proceedings of the IEEE International Reliability Physics Symposium, pp. 36–39, Apr 1983

    Google Scholar 

  20. L.M. Ting, J.S. May, W.R. Hunter, J.W. McPherson, AC electromigration characterization and modeling of multilayered interconnects, in Proceedings of the IEEE International Reliability Physics Symposium, pp. 311–316, Mar 1993

    Google Scholar 

  21. D. Edelstein et al., Full copper wiring in a sub-0.25 μm CMOS ULSI technology, in Proceedings of the IEEE International Electron Devices Meeting, pp. 773–776, Dec 1997

    Google Scholar 

  22. E.T. Ogawa, K.-D. Lee, V.A. Blaschke, P.S. Ho, Electromigration reliability issues in dual-damascene Cu interconnections. IEEE Trans. Reliab. 51(4), 403–419 (2002)

    Article  Google Scholar 

  23. S. Thrasher, C. Capasso, L. Zhao, R. Hernandez, P. Mulski, S. Rose, T. Nguyen, H. Kawasaki, Blech effect in single-inlaid Cu interconnects, in Proceedings of the IEEE International Interconnect Technology Conference, pp. 177–179, June 2001

    Google Scholar 

  24. E.T. Ogawa, Direct observation of a critical length effect in dual-damascene Cu/oxide interconnects. Appl. Phys. Lett. 78(18), 2652–2654 (2001)

    Article  Google Scholar 

  25. S.P. Hau-Riege, Probabilistic immortality of Cu damascene interconnects. J. Appl. Phys. 91(4), 2014–2022 (2002)

    Article  Google Scholar 

  26. C.-K. Hu, L. Gignac, E. Liniger, R. Rosenberg, A. Stamper, Bimodal electromigration mechanisms in dual-damascene Cu line/via on W, in Proceedings of the IEEE International Interconnect Technology Conference, pp. 133–135, June 2002

    Google Scholar 

  27. B. Li, T.D. Sullivan, T.C. Lee, Line depletion electromigration characteristics of Cu interconnects, in Proceedings of the IEEE International Reliability Physics Symposium, pp. 140–145, Mar 2003

    Google Scholar 

  28. P. Moon, V. Chikarmane, K. Fischer, R. Grover, T.A. Ibrahim, D. Ingerly, K.J. Lee, C. Litteken, T. Mule, S. Williams, Process and electrical results for the on-die interconnect stack for Intel’s 45nm process generation. Intel Technol. J. 12(2), 87–92 (2008)

    Google Scholar 

  29. P. Justison, E. Ogawa, M. Gall, C. Capasso, D. Jawarani, J. Wetzel, H. Kawasaki, P.S. Ho, Electromigration in multi-level interconnects with polymeric low-k interlevel dielectrics, in Proceedings of the IEEE International Interconnect Technology Conference, pp. 202–204, June 2000

    Google Scholar 

  30. C.S. Hau-Riege, A.P. Marathe, V. Pham, The effect of low-k ILD on the electromigration reliability of Cu interconnects with different line lengths, in Proceedings of the IEEE International Reliability Physics Symposium, pp. 173–177, Mar 2003

    Google Scholar 

  31. E.T. Ogawa, K.-D. Lee, H. Matsuhashi, K.-S. Ko, P.R. Justison, A.N. Ramamurthi, A.J. Bierwag, P.S. Ho, V.A. Blaschke, R.H. Havemann, Statistics of electromigration early failures in Cu/oxide dual damascene interconnects, in Proceedings of the IEEE International Reliability Physics Symposium, pp. 341–349, Mar 2001

    Google Scholar 

  32. F.G. Yost, D.E. Amos, A.D. Romig Jr., Statistical electromigration budgeting for reliable design and verification in a 300-MHz microprocessor, in Proceedings of the IEEE Symposium on VLSI Circuits, pp. 115–116, 1995

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer International Publishing Switzerland

About this chapter

Cite this chapter

P.-Vaisband, I., Jakushokas, R., Popovich, M., Mezhiba, A.V., Köse, S., Friedman, E.G. (2016). Electromigration. In: On-Chip Power Delivery and Management. Springer, Cham. https://doi.org/10.1007/978-3-319-29395-0_4

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-29395-0_4

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-29393-6

  • Online ISBN: 978-3-319-29395-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics