Skip to main content

Field Programmable Gate Arrays in FSM Design

  • Chapter
  • First Online:
Logic Synthesis for FPGA-Based Finite State Machines

Abstract

The chapter is devoted to application of field programmable gate arrays (FPGA) in the design of logic circuits of FSMs. The general characteristic of FPGA is given. The methods are shown used for the trivial implementation (without the hardware reduction) of FSM’s logic circuits. The main methods of state assignment are discussed in details. At last, there are discussed the methods of hardware reduction for FPGA-based FSMs.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. M. Adamski, A. Barkalov, Architectural and Sequential Synthesis of Digital Devices (University of Zielona Góra Press, Zielona Góra, 2006)

    Google Scholar 

  2. Altera: http://www.altera.com. Accessed Jan 2015

  3. P. Bacchetta, L. Daldos, D. Sciuto, C. Silvano, Low-power state assignment techniques for finite state machines, in Proceedings of the 2000 IEEE International Symposium on Circuits and Systems (ISCAS’2000), vol. 2, Geneva. IEEE (2000), pp. 641–644

    Google Scholar 

  4. S. Baranov, Logic Synthesis of Control Automata (Kluwer Academic Publishers, Dordrecht, 1994)

    Google Scholar 

  5. A. Barkalov, Principles of logic optimization for Moore microprogram automaton. Cybern. Syst. Anal. 34(1), 54–60 (1998)

    Article  MATH  Google Scholar 

  6. A. Barkalov, A. Barkalov Jr., Synthesis of finite-state machines with transformation of the object’s codes, in Proceedings of the International Conference TCSET’2004, Lviv, Ukraina (2004), pp. 61–64. Lviv Polytechnic National University, Lviv, Publishing House of Lviv Polytechnic

    Google Scholar 

  7. A. Barkalov, A. Barkalov Jr, Design of mealy finite-state machines with the transformation of object codes. Int. J. Appl. Math. Comput. Sci. 15(1), 151–158 (2005)

    MathSciNet  MATH  Google Scholar 

  8. A. Barkalov, L. Titarenko, Logic Synthesis for FSM-Based Control Units. Lecture Notes in Electrical Engineering, vol. 53 (Springer, Berlin, 2009)

    Google Scholar 

  9. A. Barkalov, L. Titarenko, S. Chmielewski, Optimization of logic circuit of Moore FSM on CPLD. Pomiary Automatyka Kontrola 53(5), 18–20 (2007)

    Google Scholar 

  10. A. Barkalov, L. Titarenko, S. Chmielewski, Optimization of Moore FSM on CPLD, in Proceedings of the Sixth International Conference CAD DD’07, vol. 2, Minsk (2007), pp. 39–45

    Google Scholar 

  11. A. Barkalov, L. Titarenko, S. Chmielewski, Optimization of Moore FSM on system-on chip, in Proceedings of IEEE East-West Design and Test Symposium—EWDTS’07, Yerevan, Armenia, Kharkov (2007), pp. 105–109

    Google Scholar 

  12. A. Barkalov, L. Titarenko, S. Chmielewski, Reduction in the number of PAL macrocells int the circuit of a Moore FSM. Int. J. Appl. Math. Comput. Sci. 17(4), 565–675 (2007)

    Article  MathSciNet  Google Scholar 

  13. A. Barkalov, L. Titarenko, S. Chmielewski, Decrease of hardware amount in logic circuit of Moore FSM. Przegląd Telekomunikacyjny i Wiadomości Telokomunikacyjne (6), 750–752 (2008)

    Google Scholar 

  14. A. Barkalov, L. Titarenko, S. Chmielewski, Optimization of Moore FSM on System-on-chip using PAL technology, in Proceedings of the International Conference TCSET 2008, Lviv-Slavsko, Ukraina (2008), pp. 314–317. Ministry of Education and Science of Ukraine, Lviv Polytechnic National University, Lviv, Publishing House of Lviv Polytechnic

    Google Scholar 

  15. A. Barkalov, I. Zelenjova, Optimization of replacement of logical conditions for an automaton with bidirectional transitions. Autom. Control Comput. Sci. 34(5), 48–53. Allerton Press Inc

    Google Scholar 

  16. L. Benini, G. De Micheli, State assignment for low power dissipation. IEEE J. Solid-State Circuits 30(3), 258–268 (1995)

    Article  Google Scholar 

  17. M. Bolton, Digital System Design with Programmable Logic (Addison-Wesley, Boston, 1990)

    Google Scholar 

  18. G. Borowik, Synthesis of sequential devices into FPGA with embedded memory blocks. Ph.D. thesis, Warszawa: WUT (2007). Ph.D. Thesis

    Google Scholar 

  19. R. Brayton, G. Hatchel, C. McMullen, A. Sangiovanni-Vincentelli, Logic Minimization Algorithms for VLSI Synthesis (Kluwer Academic Publishers, Boston, 1984)

    Book  MATH  Google Scholar 

  20. R. Brayton, R. Rudell, A. Sangiovanni-Vincentelli, A. Wang, MIS: a multi-level logic optimization system. IEEE Trans. Comput. -Aided Des. 6(11), 1062–1081 (1987)

    Article  Google Scholar 

  21. S. Brown, Z. Vernesic, Fundamentals of Digital Logic with VHDL Design (McGraw–Hill, New York, 2000)

    Google Scholar 

  22. S. Chattopadhyay, P. Chaudhuri, Genetic algorithm based approach for integrated state assignment and flipflop selection in finite state machines synthesis, in Proceedings of the IEEE International Conference on VLSI Design, Los Alamitos. IEEE Computer Society (1998), pp. 522–527

    Google Scholar 

  23. C. Chen, J. Zhao, M. Ahmadi, A semi-gray encoding algorithm for low-power state assignment, in Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS’03, vol. 5. IEEE (2003), pp. 389–392

    Google Scholar 

  24. R. Czerwiński, D. Kania, State assignment method for high speed FSM, in Proceedings of Programmable Devices and Systems (2004), pp. 216–221

    Google Scholar 

  25. R. Czerwiński, D. Kania, State assignment for PAL-based CPLDs, in Proceedings of 8th Euromicro Symposium on Digital System Design (2005), pp. 127–134

    Google Scholar 

  26. R. Czerwinski, D. Kania, Finite State Machine Logic Synthesis for Complex Programmable Logic Devices. Lecture Notes in Electrical Engineering, vol. 23 (Springer, Berlin, 2013)

    Google Scholar 

  27. D. Debnath, T. Sasao, Doutput phase optimization for AND-OR-EXOR PLAs with decoders and its application to design of adders. IFICE Trans. Inf. Syst. E88-D(7), 1492–1500 (2005)

    Google Scholar 

  28. S. Deniziak, K. Sapiecha, An efficient algorithm of perfect state encoding for CPLD based systems, in Proceedings of IEEE Workshop on Design and Diagnostic of Electronic Circuits and Systems (DDECS’98) (1998), pp. 47–53

    Google Scholar 

  29. S. Devadas, H. Ma, A. Newton, A. Sangiovanni-Vincentelli, MUSTANG: state assignment of finite state machines targeting multilevel logic implementation. IEEE Trans. Comput.-Aided Des. 7(12), 1290–1300 (1988)

    Article  Google Scholar 

  30. S. Devadas, A. Newton, Exact algorithms for output encoding, state assignment, and four-level boolean minimization. IEEE Trans. Comput.-Aided Des. 10(1), 143–154 (1991)

    Google Scholar 

  31. X. Du, G. Hachtel, B. Lin, A. Newton, MUSE: a multilevel symbolic encoding algorithm for state assignment. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 10(1), 28–38 (1991)

    Article  Google Scholar 

  32. A. El-Maleh, S. Sait, F. Khan, Finite state machine state assignment for area and power minimization, in 2006 IEEE International Symposium on Circuits and Systems, ISCAS 2006. Proceedings. IEEE (2006), pp. 5303–5306

    Google Scholar 

  33. B. Escherman, State assignment for hardwired VLSI control units. ACM Comput. Surv. 25(4), 415–436 (1993)

    Article  Google Scholar 

  34. I. Garcia-Vargas, R. Senhadji-Navarro, G. Jiménez-Moreno, A. Civit-Balcells, P. Guerra-Gutierrez, ROM-based finite state machine implementation in low cost FPGAs, in IEEE International Symposium on Industrial Electronics ISIE 2007. IEEE (2007), pp. 2342–2347

    Google Scholar 

  35. I. Grout, Digital Systems Design with FPGAs and CPLDs (Elsevier Science, Oxford, 2008)

    Google Scholar 

  36. S. Hassoun, T. Sasao, Logic Synthesis and Verification (Kluwer Academic Publishers, Boston, 2002)

    Google Scholar 

  37. G. Hatchel, F. Somenzi, Logic synthesis and verification algorithms (Kluwer Academic Publishers, Boston, 2000)

    Google Scholar 

  38. H. Hu, H. Xue, J. Bian, A heuristic state assignment algorithm targeting area, in Proceedings of 5th International Conference on ASIC, vol. 1 (2003), pp. 93–96

    Google Scholar 

  39. J. Huang, J. Jou, W. Shen, ALTO: an iterative area/performance algorithms for LUT-based FPGA technology mapping. IEEE Trans. VLSI Syst. 18(4), 392–400 (2000)

    Article  Google Scholar 

  40. A. Iranli, P. Rezvani, M. Pedram, Low power synthesis of finite state machines with mixed D and T flip-flops, in Proceedings of the Asia and South Pacific—DAC (2003), pp. 803–808

    Google Scholar 

  41. J. Jenkins, Design with FPGAs and CPLDs (Prentice Hall, New York, 1995)

    Google Scholar 

  42. A. Kahng, VLSI Physical Design: From Graph Partitioning to Timing Closure (Springer, Berlin, 2011)

    Book  MATH  Google Scholar 

  43. T. Kam, T. Villa, R. Brayton, A. Sangiovanni-Vincentelli, A Synthesis of Finie State Machines: Functional Optimization (Kluwer Academic Publishers, Boston, 1998)

    MATH  Google Scholar 

  44. D. Kania, Two-level logic synthesis on PAL-based CPLD and FPGA using decomposition, in Proceedings of 25th Euromicro Conference (1999), pp. 278–281

    Google Scholar 

  45. D. Kania, Two-level logic synthesis on PALs. Electron. Lett. (17), 879–880 (1999)

    Google Scholar 

  46. D. Kania, Coding capacity of PAL-based logic blocks included in CPLDs and FPGAs, in Proceedings of IFAC Workshop on Programmable Devices and Sysytems (PDS’2000). Elsevier Science (2000), pp. 164–169

    Google Scholar 

  47. D. Kania, Decomposition-based synthesis and its application in PAL-oriented technology mapping, in Proceedings of 26th Euromicro Conference. Maastricht: IEEE Compuetr Society Press (2000), pp. 138–145

    Google Scholar 

  48. D. Kania, An efficient algorithm for output coding in PAL-based CPLDs. Int. J. Eng. 15(4), 325–328 (2002)

    Google Scholar 

  49. D. Kania, Logic synthesis of multi–output functions for PAL-based CPLDs, in Proceedings of IEEE International Conference on Field-Programmable Technology (2002), pp. 429–432

    Google Scholar 

  50. D. Kania, An efficient approach to synthesis of multi-output boolean functions on PAL-based devices. IEEE Proc.—Comput. Digital Tech. 150, 143–149 (2003)

    Article  Google Scholar 

  51. H. Kubatova, Design of Embedded Control Systems, chapter Finie State Machine Implementation in FPGAs (Springer, New York, 2005), pp. 177–187

    Google Scholar 

  52. Lattice: http://www.lattice.com. Accessed Feb 2015

  53. C. Maxfield, The Design Warrior’s Guide to FPGAs (Academic Press Inc., Orlando, 2004)

    Google Scholar 

  54. C. Maxfield, FPGAs: Instant Access (Newnes, 2008)

    Google Scholar 

  55. E. McCluskey, Logic Design Principles (Prentice Hall, Englewood Cliffs, 1986)

    Google Scholar 

  56. G. De Micheli, Symbolic design of combinational and sequential logic implemented by two-level macros. IEEE Trans. Comput.-Aided Des. 5(9), 597–616 (1986)

    Article  Google Scholar 

  57. G. De Micheli, Synthesis and Optimization of Digital Circuits (McGraw–Hill, New York, 1994)

    Google Scholar 

  58. Microsemi: http://www.microsemi.com. Accessed Jan 2015

  59. Z. Navabi, Embedded Core Design with FPGAs (McGraw–Hill, New York, 2007)

    Google Scholar 

  60. W. Nöth, R. Kolla, Spanning tree based state encoding for low power dissipation, in Proceedings of the Conference on Design, Automation and Test in Europe. Association for Computing Machinery (1999), p. 37

    Google Scholar 

  61. S. Park, S. Cho, S. Yang, M. Ciesielski, A new state assignment technique for testing and low power, in Proceedings of the 41st annual Design Automation Conference. Association for Computing Machinery (2004), pp. 510–513

    Google Scholar 

  62. C. Pedram, A. Despain, Low-power state assignment targeting two- and multilevel logic implementations. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 17(12), 1281–1291 (1998)

    Article  Google Scholar 

  63. I. Pomerancz, K. Cheng, STOIC: state assignment based on output/input functions. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 12(8), 1123–1131 (1993)

    Article  Google Scholar 

  64. QuickLogic: http://www.quicklogic.com. Accessed Jan 2015

  65. M. Rawski, T. Łuba, Z. Jachna, P. Tomaszewicz, Design of Embedded Control Systems, chapter The influence of functional decomposition on modern digital design process (Springer, Boston, 2005), pp. 193–203

    Google Scholar 

  66. M. Rawski, H. Selvaraj, T. Łuba, An application of functional decomposition in ROM-based FSM implementation in FPGA devices. J. Syst. Architect. 51(6–7), 423–434 (2005)

    Google Scholar 

  67. K. Roy, S. Prasad, SYCLOP: synthesis of CMOS logic for low power applications, in Proceedings, IEEE 1992 International Conference on Computer Design: VLSI in Computers and Processors, ICCD’92. IEEE (1992), pp. 464–467

    Google Scholar 

  68. R. Rudell, A. Sangiovanni-Vincentelli, Multiple-valued minimization for PLA optimization. IEEE Trans. Comput.-Aided Des. 6(5), 727–750 (1987)

    Article  Google Scholar 

  69. G. Saucier, M. Depaulet, P. Sicard, ASYL: a rule-based system for controller synthesis. IEEE Trans. Comput.-Aided Des. 6(11), 1088–1098 (1987)

    Article  Google Scholar 

  70. G. Saucier, P. Sicard, L. Bouchet, Multi-level synthesis on programmable devices in the ASYL system, in Proceedings of Euro ASIC (1990), pp. 136–141

    Google Scholar 

  71. C. Scholl, Functional Decomposition with Application to FPGA Synthesis (Kluwer Academic Publishers, Boston, 2001)

    Book  MATH  Google Scholar 

  72. R. Senhadji-Navarro, I. Garcia-Vargas, G. Jiménez-Moreno, A. Civit-Balcells, P. Guerra-Gutierrez, ROM-based FSM implementation using input multiplexing in FPGA devices. Electron. Lett. 40(20), 1249–1251 (2004)

    Article  Google Scholar 

  73. E. Sentowich, K. Singh, L. Lavango, C. Moon, R. Murgai, A. Saldanha, H. Savoj, P. Stephan, R. Bryton, A. Sangiovanni-Vincentelli, SIS: a system for sequential circuit synthesis. Technical Report, University of California, Berkely (1992)

    Google Scholar 

  74. E. Sentowich, K. Singh, L. Lavango, C. Moon, R. Murgai, A. Saldanha, H. Savoj, P. Stephan, R. Bryton, A. Sangiovanni-Vincentelli, SIS: a system for sequential circuit synthesis, in Proceedings of the International Conference of Computer Design (ICCD’92) (1992), pp. 328–333

    Google Scholar 

  75. I. Skliarova, V. Sklyarov, A. Sudnitson, Design of FPGA-Based Circuits Using Hierarchical Finite State Machines (TUT Press, Tallinn, 2012)

    Google Scholar 

  76. V. Sklyarov, Synthesis and implementation of RAM-based finite state machines in FPGAs, in Proceedings of Field-Programmable Logic and Applications: The Roadmap to Reconfigurable Computing, Villach. Springer (2000), pp. 718–728

    Google Scholar 

  77. V. Sklyarov, I. Skliarova, A. Barkalov, L. Titarenko, Synthesis and Optimization of FPGA-Based Systems. Lecture Notes in Electrical Engineering, vol. 294 (Springer, Berlin, 2014)

    Google Scholar 

  78. V. Solovjev, M. Czyzy, Refined CPLD macrocells architecture for effective FSM implementation, in Proceedings of the 25th EUROMICRO Conference, vol. 1, Milan, Italy (1999), pp. 102–109

    Google Scholar 

  79. V. Solovjev, M. Czyzy, The universal algorithm for fitting targeted unit to complex programmable logic devices, in Proceedings of the 25th EUROMICRO Conference, vol. 1, Milan, Italy (1999), pp. 286–289

    Google Scholar 

  80. V. Solovjev, M. Czyzy, Synthesis of sequential circuits on programmable logic devices based on new models of finite state machines, in Proceedings of the EUROMICRO Conference, Milan (2001), pp. 170–173

    Google Scholar 

  81. G. Sutter, E. Todorovich, S. López-Buedo, E. Boemo, Low-power FSMs in FPGA: encoding alternatives, in Integrated Circuit Design. Power and Timing Modeling, Optimization and Simulation. Springer (2002), pp. 363–370

    Google Scholar 

  82. E. Tatalov, Synthesis of compositional microprogram control units for programmable devices. Master’s thesis, Donetsk National Technical University, Donetsk (2011)

    Google Scholar 

  83. A. Tiwari, K. Tomko, Saving power by mapping finite-state machines into embedded memory blocks in FPGAs, in Proceedings of the Conference on Design, Automation and Test in Europe—Volume 2. IEEE Computer Society (2004), pp. 916–921

    Google Scholar 

  84. C. Tsui, M. Pedram, A. Despain, Exact and approximate methods for calculating signal and transition probabilities in FSMs, in 31st Conference on Design Automation. IEEE (1994), pp. 18–23

    Google Scholar 

  85. G. Venkatamaran, S. Reddy, I. Pomerancz, GALLOP: genetic algorithm based low power FSM synthesis by simultaneous partitioning and state assignment, in Proceedings of 16th International Conference on VLSI Design (2003), pp. 533–538

    Google Scholar 

  86. T. Villa, T. Saldachna, R. Brayton, A. Sangiovanni-Vincentelli, Symbolic two-level minimization. IEEE Trans. Comput.-Aided Des. 16(7), 692–708 (1997)

    Article  Google Scholar 

  87. T. Villa, A. Sangiovanni-Vincentelli, NOVA: state assignment of finite state machines for optimal two-level logic implememntation. IEEE Trans. Comput.-Aided Des. 9(9), 905–924 (1990)

    Article  Google Scholar 

  88. Y. Xia, A. Almani, Genetic algorithm based state assignment for power and area optimization. IEEE Proc. Comput. Digital Tech. 149, 128–133 (2002)

    Article  Google Scholar 

  89. Xilinx: http://www.xilinx.com. Accessed Jan 2015

  90. Xilinx: XST User Guide. V. 11.3, http://www.xilinx.com/support/documentation/sw_manuals/xilinx11/xst.pdf. Accessed Jan 2015

  91. S. Yang, Logic synthesis and optimization benchmarks user guide. Technical report, Microelectronic Center of North Carolina (1991)

    Google Scholar 

  92. B. Zeidman, Designing with FPGAs and CPLDs (CMP Books, Lawrence, 2002)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Alexander Barkalov .

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Barkalov, A., Titarenko, L., Kolopienczyk, M., Mielcarek, K., Bazydlo, G. (2016). Field Programmable Gate Arrays in FSM Design. In: Logic Synthesis for FPGA-Based Finite State Machines. Studies in Systems, Decision and Control, vol 38. Springer, Cham. https://doi.org/10.1007/978-3-319-24202-6_2

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-24202-6_2

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-24200-2

  • Online ISBN: 978-3-319-24202-6

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics