Skip to main content

Poly-Si TFT Technology and Architecture

  • Chapter
  • First Online:
Introduction to Thin Film Transistors

Abstract

This chapter discusses the fabrication of high quality poly-Si films, by the industry standard technique of excimer laser crystallisation of a-Si:H precursor films. Alternative crystallisation procedures are also reviewed, including metal-induced solid phase crystallisation, as well as advanced procedures for achieving large grain and high mobility TFTs, using green solid-state lasers in addition to modified excimer laser techniques. The architecture of poly-Si TFTs is top-gated with a silicon dioxide gate dielectric, and issues with the implementation of self-aligned and non-self-aligned architectures are discussed, and illustrative processing schedules are listed. Finally, a simple qualitative cost model is presented, illustrating why the major commercial application of poly-Si TFTs is in the small-diagonal, portable display market.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 89.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 119.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Mitani M, Endo T, Taniguchi Y, Katou T, Shimoto S, Ohno T, Tsuboi S, Okada T, Azuma K, Kawachi G, Matsumura M (2008) Ultrahigh performance polycrystalline silicon thin-film transistors on excimer-laser-processed pseudo-single-crystal films. Jpn J Appl Phys 47(12):8707–8713

    Article  ADS  Google Scholar 

  2. Nishibe T, Nakamura T (2004) Realization of new-concept Input Display by p-Si SOG technology. Proc AMLCD’04:85–88

    Google Scholar 

  3. Harada K, Kimura H, Miyatake M, Kataoka S, Tsunashima T, Motai T, Kawamura T (2009) A novel low-power-consumption all-digital system-on-glass display with serial interface. SID’09 Digest, pp 383–386

    Google Scholar 

  4. Q3’09 Quarterly small/medium shipment and forecast report. Displaysearch, Oct 2009

    Google Scholar 

  5. http://www.displaysearch.com/cps/rde/xchg/displaysearch/hs.xsl/120105_amoled_display_revenue_to_surge_in_2011_from_smart_phone_adoption.asp (Accessed Feb 2012)

  6. Parrillo LC (1983) VLSI process integration. In: Sze SM (ed) VLSI technology. McGraw-Hill, New York

    Google Scholar 

  7. Lu NC-C, Lu C-Y (1984) I-V characteristics in polysilicon resistors at high electric field and the non-uniform conduction mechanism. Solid State Electron 27(8/9):797–805

    Article  ADS  Google Scholar 

  8. Seto JYW (1975) The electrical properties of polycrystalline silicon films. J Appl Phys 46(12):5247–5254

    Article  ADS  Google Scholar 

  9. Morozumi S, Oguchi K, Misawa T, Araki R, Ohshima H (1984) 4-25-in. and 1.51-in. B/W and Full-Color LC Video displays addressed by Poly-Si TFTs. SID’84 Digest, pp 316–319

    Google Scholar 

  10. Levinson J, Shepherd FR, Scanlon PJ, Westwood WD, Este G, Rider M (1982) Conductivity behaviour in polycrystalline semiconductor thin film transistors. J Appl Phys 53(2):1193–1202

    Article  ADS  Google Scholar 

  11. Moon K-C, Kim C-H, Kim C-M, Park K-C, Joo S-Y, Kim I-G, Park T-H, Kang J-K, Chung E-J, Kim C-W (2004) 2-inch qVGA SOG-LCD employing TS-SLS. Proc AMLCD’04, pp 21–24

    Google Scholar 

  12. Brotherton SD, Ayres JR, Young ND (1991) Characterisation of low temperature poly-Si thin film transistors. Solid-State Electron 34(7):671–679

    Article  ADS  Google Scholar 

  13. Mimura A, Konishi N, Ono K, Ohwada J-I, Hosokawa Y, Ono YA, Suzuki T, Miyata K, Kawakami H (1989) High performance low-temperature poly-Si n-channel TFTs for LCD. IEEE Trans ED-36(2):351–359

    Google Scholar 

  14. Hatalis MK, Greve DW (1988) Large grain polycrystalline silicon by low-temperature annealing of low pressure chemical vapour deposited amorphous silicon films. J Appl Phys 63(7):2260–2266

    Article  ADS  Google Scholar 

  15. Brotherton SD, Young ND, Edwards MJ, Gill A, Trainor MJ, Ayres JR, Clarence IR, Bunn RM, Gowers JP (1994) Low temperature furnace processed poly-Si AMLCDs. Proc SID IDRC, pp 130–133, (Monterey, Ca, 1994)

    Google Scholar 

  16. Iverson RB, Reif R (1987) Recrystallisation of amorphised polycrystalline films on SiO2: temperature dependence of the crystallisation parameters. J Appl Phys 62(5):1675–1681

    Article  ADS  Google Scholar 

  17. Brotherton SD (1995) Polycrystalline silicon thin film transistors. Semicond Sci Technol 10:721–738

    Article  ADS  Google Scholar 

  18. Paetzl R, Brune J, Herbst L, Simon F, Turk BA (2009) Advanced laser crystallisation for active-matrix display manufacturing. Proceeding of 5th international TFT conference ITC’09, 10.2, France

    Google Scholar 

  19. Forouhi AR (1985) Optical functions of a-Si and a-Si:H. In: Properties of amorphous silicon (EMIS data reviews No. 1). IEE Inspec, London

    Google Scholar 

  20. Aspnes DE (1988) Optical functions of intrinsic Si. In: Properties of silicon (EMIS data reviews No. 4). IEE Inspec, London

    Google Scholar 

  21. Baeri P, Campisano SU (1982) Chapter 4. In: Poate JM, Mayer JW (eds) Laser annealing of semiconductors, Academic Press, New York

    Google Scholar 

  22. De Unamuno S, Fogarassy E (1989) A thermal description of the melting of c- and a-silicon under pulsed excimer lasers. Appl Surf Sci 36:1–11

    Article  Google Scholar 

  23. Brotherton SD, McCulloch DJ, Clegg JB, Gowers JG (1993) Excimer-laser-annealed poly-Si thin film transistors. IEEE Trans ED-40(2):407–413

    Google Scholar 

  24. Fogarassy E, Prevot B, De Unamano S, Elliq M, Pattyn H, Mathe EL, Naudon A (1993) Pulsed laser crystallisation of hydrogen-free a-Si thin films for high-mobility poly-Si TFT fabrication. Appl Phys A56:365–373

    ADS  Google Scholar 

  25. Brotherton SD, McCulloch DJ, Gowers JP, Ayres JR, Trainor M (1997) Influence of melt depth in laser crystallised poly-Si thin film transistors. J Appl Phys 82(8):4086–4094

    Article  ADS  Google Scholar 

  26. Leonard JP, Bessette MA, Gupta VV, Im JS (1997) The effect of film thickness and pulse duration variation in excimer laser crystallisation of thin Si films. Mat Res Symp Soc 452:947–952

    Article  Google Scholar 

  27. Im JS, Kim HJ, Thompson MO (1993) Phase transformation mechanisms in excimer laser crystallisation of amorphous silicon films. Appl Phys Lett 63(14):1969–1971

    Article  ADS  Google Scholar 

  28. Im JS, Kim HJ (1994) On the super lateral growth phenomenon observed in excimer laser-induced crystallisation of thin Si films. Appl Phys Lett 64(17):2303–2305

    Article  ADS  Google Scholar 

  29. Im JS, Crowder MA, Sposili RS, Leonard JP, Kim HJ, Yoon JH, Gupta VV, Jin Song H, Cho HS (1998) Controlled super-lateral growth of Si films for microstructural manipulation and optimisation. Phys Stat Sol (a) 166(2):603–617

    Article  ADS  Google Scholar 

  30. Kim HJ, Im JS (1994) Multiple pulse irradiations in excimer laser-induced crystallisation of amorphous Si films. Mat Res Soc Symp Proc 321:665–670

    Article  Google Scholar 

  31. Brotherton SD, McCulloch DJ, Gowers JP, French ID, Gale I (2000) Issues in laser crystallisation of poly-Si. Proc IDMC’2000, Seoul, pp 65–69

    Google Scholar 

  32. Herbst L, Simon F, Rebhan U, Osmanov R, Fechner B (2004) New technology for creation of LTPS with excimer laser annealing. Proc Asia Display/IMID’04, Korea, pp 319–321

    Google Scholar 

  33. Brotherton SD, McCulloch DJ, Gowers JP (2004) Influence of excimer laser beam shape on poly-Si crystallisation. Jpn J Appl Phys 43(8):5114–5121

    Article  ADS  Google Scholar 

  34. Brotherton SD, McCulloch DJ, Gowers JP, Ayres JR, Fisher CA, Rohlfing FW (2000) Excimer laser crystallisation of poly-Si TFTs for AMLCDs. Mat Res Soc Symp Proc 621:Q7.1.1–Q7.1.12

    Google Scholar 

  35. Tamagawa K, Ikeda H, Oonishi T, Yamamoto Y, Kikuchi M, Morimura T, Hashimoto M, Asari S (2006) Green laser annealing system for manufacturing LTPS TFTs. Ulvac Tech J 64E:32–36

    Google Scholar 

  36. Zan HW, Huang CY, Saito K, Tamagawa K, Chen J, Wu TJ (2006) Crystallization mechanism of Poly-Si thin film using high-power Nd:YAG Laser with Gaussian Beam profile. Mater Res Soc Symp Proc 910:A14–03

    Article  Google Scholar 

  37. Morikawa K, Okamoto T, Kojima T, Yura S, Nishimae J, Sato Y, Tanaka M, Inoue M (2004) Comparison of Poly-Si TFT characteristics crystallized by a YAG2ω laser and an excimer laser. SID 04 Digest, pp 1088–1091

    Google Scholar 

  38. Helen Y, Gautier G, Mohammed-Brahim T, Bonnaud O, Andra G, Bergmann J, Falk F (2001) High field effect mobility polysilicon thin film transistors involving frequency doubled cw-Nd:YAG-laser crystallisation. Proc AMLCD-01, pp 187–188

    Google Scholar 

  39. Hara A, Takei M, Takeuchi F, Suga K, Yoshino K, Chida M, Kakehi T, Ebiko Y, Sano Y, Sasaki N (2004) High performance low temperature polycrystalline silicon thin film transistors on non-alkaline glass produced using diode pumped solid state continuous wave laser lateral crystallization. Jpn J Appl Phys 43(4A):1269–1276

    Google Scholar 

  40. Hara A, Takeuchi F, Takei M, Suga K, Yoshino K, Chida M, Sano Y, Sasaki N (2002) High-performance polycrystalline silicon thin film transistors on non-alkali glass produced using continuous wave laser lateral crystallization. Jpn J Appl Phys 41(3B):L311–L313

    Google Scholar 

  41. Sasaki N, Hara A, Takeuchi F, Mishima Y, Kakehi T, Yoshino K, Takei M (2002) High throughput CW-laser lateral crystallization for low-temperature poly-Si TFTs and fabrication of 16 bit SRAMs and 270 MHz shift registers. SID 02 Digest, pp 154–157

    Google Scholar 

  42. Hara A, Takeuchi F, Takei M, Yoshino K, Suga K, Sasaki N (2001) Ultra-high performance poly-Si TFTs on a glass by a stable scanning CW laser lateral crystallization. Proc AMLCD’01, pp 227–230

    Google Scholar 

  43. Jang J (2004) Poly-Si TFTs by non-laser crystallization methods. In: Kuo Y (ed) Thin film transistors, materials and processes: polycrystalline silicon thin film transistors, vol 2. Kluwer Academic publishers, Dordrecht

    Google Scholar 

  44. Hayzelden C, Batstone JL (1993) Silicide formation and silicide-mediated crystallization of nickel-implanted amorphous silicon thin films. J Appl Phys 73(12):8279–8289

    Article  ADS  Google Scholar 

  45. Yoon SY, Kim KH, Kim CO, Oh JY, Jang J (1997) Low temperature metal induced crystallization of amorphous silicon using a Ni solution. J Appl Phys 82(11):5865–5867

    Article  ADS  Google Scholar 

  46. Van der Zaag PJ, Verheijen m A, Yoon SY, Young ND (2002) Explanation for the leakage current in polycrystalline-silicon thin-film transistors made by Ni-silicide mediated crystallization. Appl Phys Lett 81(18):3404–3406

    Article  ADS  Google Scholar 

  47. Meng Z, Zhao S, Wu C, Zhang B, Wong M, Kwok H–S (2006) Polycrystalline silicon films and thin-film transistors using solution-based metal-induced crystallization. IEEE J Disp Technol 2(3):265–273

    Article  ADS  Google Scholar 

  48. Lee J-S, Kim M-S, Kim D, Kim Y-M, Moon J, Joo S-K (2009) Fabrication and characterization of low temperature polycrystalline silicon thin film transistors by ink-jet printed nickel-mediated lateral crystallization. Appl Phys Lett 94(12):122105-1–122105-3

    Google Scholar 

  49. Lee S-W, Joo S-K (1996) Low temperature poly-Si thin- film transistor fabrication by metal-induced lateral crystallization. IEEE Electron Dev Lett 17(4):160–162

    Article  ADS  Google Scholar 

  50. Wong M, Jin Z, Bhat GA, Wong PC, Kwok HS (2000) Characterization of the MIC/MILC interface and its effects on the performance of MILC thin-film transistors. IEEE Trans Electron Dev 47(5):1061–1067

    Article  ADS  Google Scholar 

  51. Meng Z, Wang M, Wong M (2000) High performance low temperature metal-induced unilaterally crystallized polycrystalline silicon thin film transistors for System-on-Panel applications. IEEE Trans Electron Dev 47(2):404–409

    Article  ADS  Google Scholar 

  52. Murley D, Young N, Trainor M, McCulloch D (2001) An investigation of laser annealed and metal-induced crystallized polycrystalline silicon thin-film transistors. IEEE Trans Electron Dev 48(6):1145–1151

    Article  ADS  Google Scholar 

  53. Yoon SY, Young N, van der Zaag PJ, McCulloch D (2003) High-performance poly-Si TFTs made by Ni-mediated crystallization through low-shot laser annealing. IEEE Electron Dev Lett 24(1):22–24

    Article  ADS  Google Scholar 

  54. Sakamoto H, Makita N, Hijikigawa M, Osame M, Tanada Y, Yamazaki S (2000) 2.6 inch HDTV panel using CG Silicon. SID 00 Digest, pp 1190–1193

    Google Scholar 

  55. Mizuki T, Matsuda JS, Nakamura Y, Takagi J, Yoshida T (2004) Large domains of continuous grain silicon on glass substrate for high-performance TFTs. IEEE Trans Electron Dev 51(2):204–211

    Article  ADS  Google Scholar 

  56. Batey J, Tierney E (1986) Low-temperature deposition of high-quality silicon dioxide by plasma-enhanced chemical vapor deposition. J Appl Phys 60(9):3136–3145

    Article  ADS  Google Scholar 

  57. Hirashita N, Tokitoh S, Uchida H (1993) Thermal desorption and infrared studies of plasma-enhanced chemical vapor deposited SiO films with tetraethylorthosilicate. Jpn J Appl Phys 32(4):1787–1793

    Article  ADS  Google Scholar 

  58. Young ND, Gill A (1992) Water-related instability in TFTs formed using deposited gate oxides. Semicond Sci Technol 7:1103–1108

    Article  ADS  Google Scholar 

  59. Asari S, Kurata T, Kikuchi T, Hashimoto M, Saito K (2002) Evaluation of gate insulation film for large-scale substrate in low temperature poly-Si TFTs. Proc IDMC’02

    Google Scholar 

  60. Young ND, Harkin G, Bunn RM, McCulloch DJ, French ID (1996) The fabrication and characterisation of EEPROM arrays on glass using a low-temperature poly-Si TFT process. IEEE Trans ED-43(11):1930–1936

    Google Scholar 

  61. Young ND, McCulloch DJ, Bunn RM, French ID, Gale IG (1998) Low temperature poly-Si on glass and polymer substrates. Proc Asia Display’98, pp 83–93

    Google Scholar 

  62. Okumura F, Yuda K (1998) High-quality low-temperature gate oxide for poly-Si TFTs. Electrochem Soc Proc 98–22:133–142

    Google Scholar 

  63. Higashi S, Abe D, Hiroshima Y, Miyashita K, Kawamura T, Inoue S, Shimoda T (2002) High-quality SiO2/Si interface formation and its application to fabrication of low-temperature-processed polycrystalline Si thin-film transistor. Jpn J Appl Phys 41(6a):3646–3650

    Google Scholar 

  64. Maiolo L, Pecora A, Fortunato G, Young ND (2006) Low-temperature electron cyclotron resonance plasma-enhanced chemical-vapor deposition silicon dioxide as gate insulator for polycrystalline silicon thin-film transistors. J Vac Sci Technol A 24(2):280–285

    Article  Google Scholar 

  65. Young ND Private communication, unpublished results

    Google Scholar 

  66. Lee J-H, Shin M-Y, Moon KC, Han M-K (2004) Reliable poly-Si TFT employing XeCl excimer laser irradiation on gate oxide. Phys Scr T114:199–201

    Article  ADS  Google Scholar 

  67. Miyashita M, Sugawara Y, Uraoka Y, Yano H, Hatayama T, Fuyuki T, Sameshima T (2005) Improvement of reliability in low-temperature Poly-Si thin film transistors by water vapor annealing. Proc AM-LCD’05, pp 277–280

    Google Scholar 

  68. Wilk GD, Wallace RM, Anthony JM (2001) High-k gate dielectrics: current status and materials properties considerations. J Appl Phys 89(10):5243–5275

    Article  ADS  Google Scholar 

  69. O’Sullivan MP, Young ND, French ID, Wilks RW (2003) Low threshold voltage poly-Si TFTs formed using silicon dioxide/silicon nitride dual layer dielectric. Proc IDW’03, pp 443–445

    Google Scholar 

  70. O’Sullivan MP, Young ND, Glass C, Wilks RW (2003) Low threshold voltage poly-Si TFTs formed using Ta2O5 gate dielectric. Proc IDW’03, pp 335–338

    Google Scholar 

  71. Ryssel H, Ruge I (1986) Ion implantation, chapters 2 and 9. Wiley, New York

    Google Scholar 

  72. Brotherton SD, Ayres JR, Fisher CA, Glaister C, Gowers JP, McCulloch DJ, Trainor MJ (1998) The technology and application of laser crystallised poly-Si TFTs. In: Kuo Y (ed) Thin film transistor technologies IV, proceedings, vol 98–22. Electrochemical Society, New Jersey, pp 25–42

    Google Scholar 

  73. Yoneda K (1997) State-of-the-art low temperature processed poly-Si TFT technology. Proc SID-IDRC’97, pp M40–M47

    Google Scholar 

  74. Peng D-Z, Chang T-C, Zan H-W, Huang T-Y, Chang C-Y, Liu P-T (2002) Reliability of laser-activated low-temperature polycrystalline silicon thin-film transistors. Appl Phys Lett 80(25):4780–4782

    Article  ADS  Google Scholar 

  75. Park K-C, Nam W-J, Kang S-H, Han M-K (2004) Incomplete laser annealing of ion doping damage at source/drain junctions of poly-Si thin-film transistors. Electrochem Solid-State Lett 7(6):G116–G118

    Article  Google Scholar 

  76. Brotherton SD, Lee S-G, Glasse C, Ayres JR, Glaister C (2002) Short channel poly-Si TFTs. Proc IDW’02, pp 283–286

    Google Scholar 

  77. Valletta A, Mariucci L, Fortunato G, Brotherton SD (2003) Surface scattering effects in polycrystalline silicon thin film transistors. Appl Phys Lett 82(18):3119–3121

    Article  ADS  Google Scholar 

  78. Nam W-J, Park K-C, Jung S-H, Park S-J, Han M-K (2003) Observation and annealing of incomplete recrystallized junction defects due to the excimer laser beam diffraction at the gate edge in poly-Si TFT. Mat Res Soc Symp Proc 762:A17.8

    Google Scholar 

  79. Ayres JR, Brotherton SD, McCulloch DJ, Trainor M (1998) Analysis of drain field and hot carrier stability of poly-Si TFTs. Jpn J Appl Phys 37(4a):1801–1808

    Google Scholar 

  80. Young ND, Gill A, Edwards MJ (1992) Hot carrier degradation in low temperature processed polycrystalline silicon thin film transistors. Semicond Sci Technol 7(9):1183–1188

    Article  ADS  Google Scholar 

  81. Young ND (1996) The formation and annealing of hot-carrier-induced degradation in poly-Si TFTs, MOSFETs, and SOI devices, and similarities to state-creation in a-Si:H. IEEE Trans ED-43(3):450–456

    Google Scholar 

  82. Rohlfing FW, Ayres JR, Brotherton SD, Fisher CA, McCulloch DJ (2000) Fabrication and characterisation of poly-Si TFTs with self-aligned lightly-doped drain. Proc 20th SID-IDRC, pp 119–122

    Google Scholar 

  83. Yoshinouchi A, Morita T, Itoh M, Yoneda H, Yamane Y, Yamamoto Y, Tsucimoto S, Funada F, Awane K (1996) Process technologies for monolithic low-temperature poly-Si TFT-LCDs. Proc SID EuroDisplay’96, pp 29–32

    Google Scholar 

  84. Glasse C, Brotherton SD, French ID, Green PW, Rowe C (2003) Short channel TFTs made with sidewall spacer technology. Proc AMLCD’03, pp 317–320

    Google Scholar 

  85. Gosain DP, Westwater J, Usui S (1995) High performance bottom gate TFTs by excimer laser crystallisation and post hydrogenation. J Appl Phys 34(1):937–941

    Google Scholar 

  86. Tsai C-C, Chen H-H, Chen B-T, Cheng H-C (2007) High-performance self-aligned bottom-gate low-temperature poly-silicon thin-film transistors with excimer laser crystallization. IEEE Electron Device Lett 28(7):599–602

    Article  ADS  Google Scholar 

  87. Mariucci L, Fortunato G, Bonfiglietti A, Cuscuná M, Pecora A, Valletta A (2004) Polysilicon TFT structures for kink-effect suppression. IEEE Trans ED-51(7):1135–1142

    Google Scholar 

  88. Mei P, Boyce JB, Hack M, Lujan RA, Johnson RI, Anderson GB, Fork DK, Ready SE (1994) Laser dehydrogenation/crystallization of plasma-enhanced chemical vapor deposited amorphous silicon for hybrid thin film transistors. Appl Phys Lett 64(9):1132–1134

    Article  ADS  Google Scholar 

  89. Brotherton SD, McCulloch DJ, Edwards MJ (1994) Beam shape effects with excimer laser crystallisation of PECVD and LPCVD a-Si. Solid State Phenom 37–38:299–304

    Article  Google Scholar 

  90. Kikuchi M (2006) Plasma CVD system for manufacturing LTPS TFTs. Ulvac Tech J 64E:30–31

    Google Scholar 

  91. Suzuki T (2006) Flat panel displays for ubiquitous product applications and related impurity doping technologies. J Appl Phys 99:111101-1–111101-15

    Google Scholar 

  92. Sposili RS, Im JS (1996) Sequential lateral solidification of thin silicon films on SiO2. Appl Phys Lett 69(19):2864–2866

    Article  ADS  Google Scholar 

  93. Voutsas AT (2003) Assessment of the performance of laser-based lateral-crystallisation technology via analysis and modelling of polysilicon thin film transistor technology. IEEE Trans Ed-50(6):1494–1500

    Google Scholar 

  94. Park J-Y, Park H-H, Lee K-Y, Chung H-K (2004) Design of sequential lateral solidification crystallisation method for low temperature poly-Si thin film transistors. Jpn J Appl Phys 43(4a):1280–1286

    Google Scholar 

  95. Oh C-H, Matsumura M (1998) Preparation of location-controlled crystal-silicon islands by means of excimer-laser annealing. Proc AMLCD’98, pp 13–16

    Google Scholar 

  96. Endo T, Taniguchi Y, Katou T, Shimoto S, Ohno T, Azuma K, Matsumura M (2008) Pseudo-single-nucleus lateral crystallization of Si thin films. Jap J Appl Phys 47(3):1862–1866

    Article  ADS  Google Scholar 

  97. Taniguchi Y, Katou T, Hiramatsu M, Matsumura M (2008) New phase modulators for next-generation low-temperature crystallization method of Si films. Jap J Appl Phys 45(10A):7753–7760

    Google Scholar 

  98. Van der Wilt PC, van Dijk BD, Bertens GJ, Ishihara R, Beenakker CIM (2001) Formation of location-controlled crystalline islands using substrate-embedded seeds in excimer-laser crystallization of silicon films. Appl Phys Lett 79(12):1819–1821

    Article  ADS  Google Scholar 

  99. Rana V, Ishihara R, Hiroshima Y, Abe D, Inoue S, Shimoda T, Metselaar W, Beenakker K (2005) Dependence of single-crystalline Si TFT characteristics on the channel position inside a location-controlled grain. IEEE Trans ED-52(12):2622–2628

    Google Scholar 

  100. Turk BA, Simon F, Pätzel R (2007) 2D-projection-based method for forming uniform and high-quality poly-Si films via sequential lateral solidification. Proc 3rd international TFT conference, ITC’07, Rome, pp 78–81

    Google Scholar 

  101. Crowder MA, Limanov AB Im JS (2000) Sub-grain boundary spacing in directionally crystallized Si films obtained via sequential lateral solidification. Proc Mat Res Soc Symp 621E:Q9.6.1–Q9.6

    Google Scholar 

  102. Chung UJ, Limanov AB, van der Wilt, PC, Chitu AM, Im JS (2006) Microstructural analysis of directionally solidified materials obtained via line-scan SLS of Si films. Proc IMID/IDMC’06, pp 1744–1749

    Google Scholar 

  103. Brotherton SD, Crowder MA, Limanov AB, Turk B, Im JS (2001) Characterisation of poly-Si TFTs in directionally crystallized SLS Si. Proc Asia Display/IDW’01, pp 387–390

    Google Scholar 

  104. Crowder MA, Voutsas AT, Droes SR, Moriguchi M, Mitani Y (2004) Sequential lateral solidification processing for polycrystalline Si TFTs. IEEE Trans ED-51(4):560–568

    Google Scholar 

  105. Shirai K, de Dieu Mugiraneza J, Suzuki T, Okada T, Noguchi T, Matsushima H, Hashimoto T, Ogino Y, Sahota E (2011) Crystallization behavior of sputtered amorphous silicon films by blue-multi-laser-diode annealing. Jpn J Appl Phys 50:021402

    Google Scholar 

  106. Young BE, Young R (1998) Cost and yield model comparison for a-Si and poly-Si displays. SID’98 Digest, pp 1193–1196

    Google Scholar 

  107. Ayres JR, Edwards MJ, Young ND, Pook R, Pearson AD, Henzen AV (2002) A highly integrated AMLCD with low voltage operation. Proc 2nd international display manufacturing conference. IDMC 2002:19–22

    Google Scholar 

  108. Hashimoto K, Yamashita K, Matsuki F, Watsuda H, Ayres JR, Edwards MJ (2005) A high resolution LTPS AMLCD with integrated DACs. Proc IDW’05, pp 1031–1032

    Google Scholar 

  109. Ha Y-M (2000) P-type technology for large size low temperature poly-Si TFT-LCDs. SID symposium digest of technical papers 31(1):1116–1119

    Article  Google Scholar 

  110. Hong S, Kim J, Choi B-D (2009) A high-speed and low-power inverter circuit using p-channel metal oxide semiconductor low-temperature polycrystalline silicon thin film transistors. Jpn J Appl Phys 48(3):03B010_1–03B010_5

    Google Scholar 

  111. http://www.displaysearch.com/cps/rde/xchg/displaysearch/hs.xsl/120106_oled_display_technology_moving_to_compete_in_the_tv_market.asp (Accessed Jan 2012)

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer International Publishing

About this chapter

Cite this chapter

Brotherton, S.D. (2013). Poly-Si TFT Technology and Architecture. In: Introduction to Thin Film Transistors. Springer, Heidelberg. https://doi.org/10.1007/978-3-319-00002-2_7

Download citation

Publish with us

Policies and ethics