Abstract
This chapter introduces the basic concepts and methodologies behind digital design. We start with current practices and the layout limitations of standard design methodologies. We then survey different alternatives for digital design (logic families) that can be implemented with standard CMOS processes. We discuss the tradeoffs and paradigms of energy and delay in digital designs. These lay the groundwork and provide the reader with the basic concepts. We end with a presentation of the general outline of this book.
Access this chapter
Tax calculation will be finalised at checkout
Purchases are for personal use only
References
J. Rabaey, Low Power Design Essentials (Springer, Berlin, 2009)
K. Roy, S.C. Prasad, Low-power CMOS VLSI Circuit Design (Wiley, New York, 2009)
J.M. Rabaey, M. Pedram, Low Power Design Methodologies, vol. 336 (Springer, Berlin, 2012)
D. Flynn, R. Aitken, A. Gibbons, K. Shi, Low Power Methodology Manual: For System-on-chip Design (Springer, Berlin, 2007)
B.H. Calhoun, Y. Cao, X. Li, K. Mai, L.T. Pileggi, R.A. Rutenbar, K.L. Shepard, Digital circuit design challenges and opportunities in the era of nanoscale CMOS. Proc. IEEE 96, 343–365 (2008)
B.H. Calhoun, J. Bolus, S. Khanna, A.D. Jurik, A.C. Weaver, T.N. Blalock, Sub-threshold operation and cross-hierarchy design for ultra low power wearable sensors, in Proceedings of the 2009 IEEE International Symposium on Circuits and Systems (IEEE, New York, 2009), pp. 1437–1440
D. Markovic, C.C. Wang, L.P. Alarcon, T.-T. Liu, J.M. Rabaey, Ultralow-power design in near-threshold region. Proc. IEEE 98(2), 237–252 (2010)
D. Bol, D. Kamel, D. Flandre, J.-D. Legat, Nanometer MOSFET effects on the minimum-energy point of 45 nm subthreshold logic, in Proceedings of the 2009 ACM/IEEE International Symposium on Low Power Electronics and Design (ACM, New York, 2009), pp. 3–8
T. Jang, G. Kim, B. Kempke, B. Henry, N. Chiotellis, C. Pfeiffer, A. Grbic, D. Sylvester, D. Blaauw, Circuit and system designs of ultra-low power sensor nodes with illustration in a miniaturized GNSS logger for position tracking: Part II—Data communication, energy harvesting, power management, and digital circuits. IEEE Trans. Circuits Syst. I, Reg. Papers 64(9), 2250–2262 (2017)
M. Alioto, Enabling the Internet of Things: From Integrated Circuits to Integrated Systems (Springer, Berlin, 2017)
M. Pedram, S. Nazarian, Thermal modeling, analysis, and management in VLSI circuits: Principles and methods. Proc. IEEE 94(8), 1487–1501 (2006)
S. Jeong, Z. Foo, Y. Lee, J.-Y. Sim, D. Blaauw, D. Sylvester, A fully-integrated 71 nW CMOS temperature sensor for low power wireless sensor nodes. IEEE J. Solid State Circuits 49(8), 1682–1693 (2014)
A. Wang, A. Chandrakasan, A 180-mV subthreshold FFT processor using a minimum energy design methodology. IEEE J. Solid State Circuits 40(1), 310–319 (2005)
L.P. Alarcón, T.-T. Liu, M.D. Pierson, J.M. Rabaey, Exploring very low-energy logic: a case study. J. Low Power Electron. 3(3), 223–233 (2007)
D. Blaauw, et-al., Razor II: in situ error detection and correction for PVT and SER tolerance, in Proceedings of the 2008 IEEE International Solid-State Circuits Conference (ISSCC) (2008), pp. 400–622
Y. Wu, S. Thomson, H. Sun, D. Krause, S. Yu, G. Kurio, Free razor: a novel voltage scaling low-power technique for large SoC designs. IEEE Trans. Very Large Scale Integr. VLSI Syst. 23(11), 2431–2437 (2015)
G. de-Streel, F. Stas, T. Gurné, F. Durant, C. Frenkel, A. Cathelin, D. Bol, SleepTalker: a ULV 802.15. 4a IR-UWB transmitter SoC in 28-nm FDSOI achieving 14 pJ/b at 27 Mb/s with channel selection based on adaptive FBB and digitally programmable pulse shaping. IEEE J. Solid State Circuits 52(4), 1163–1177 (2017)
L. Benini, G. De Micheli, State assignment for low power dissipation. IEEE J. Solid State Circuits 30(3), 258–268 (1995)
Y. Xia, A.E.A. Almaini, Genetic algorithm based state assignment for power and area optimisation. IEE Proc. Comput. Digit. Tech. 149(4), 128–133 (2002)
L. Xie, P. Qiu, Q. Qiu, Partitioned bus coding for energy reduction, in Proceedings of the 2005 Asia and South Pacific Design Automation Conference (ACM, New York, 2005), pp. 1280–1283
B.H. Calhoun, A.P. Chandrakasan, Ultra-dynamic voltage scaling (UDVS) using sub-threshold operation and local voltage dithering. IEEE J. Solid State Circuits 41(1), 238–245 (2006)
B. Zhai, D. Blaauw, D. Sylvester, K. Flautner, The limit of dynamic voltage scaling and insomniac dynamic voltage scaling. IEEE Trans. Very Large Scale Integr. VLSI Syst. 13(11), 1239–1252 (2005)
J. Shinde, S.S. Salankar, Clock gating—A power optimizing technique for VLSI circuits, in Proceedings of the 2011 Annual IEEE India Conference (INDICON) (IEEE, New York, 2011), pp. 1–4
L. Li, W. Wang, K. Choi, S. Park, M.-K. Chung, SeSCG: selective sequential clock gating for ultra-low-power multimedia mobile processor design, in Proceedings of the 2010 IEEE International Conference on Electro/Information Technology (EIT) (IEEE, New York, 2010), pp. 1–6
W. Shen, Y. Cai, X. Hong, J. Hu, An effective gated clock tree design based on activity and register aware placement. IEEE Trans. Very Large Scale Integr. VLSI Syst. 18(12), 1639–1648 (2010)
H. Mahmoodi, V. Tirumalashetty, M. Cooke, K. Roy, Ultra low-power clocking scheme using energy recovery and clock gating. IEEE Trans. Very Large Scale Integr. VLSI Syst. 17(1), 33–44 (2009)
R. Bhutada, Y. Manoli, Complex clock gating with integrated clock gating logic cell, in Proceedings of the International Conference on Design and Technology of Integrated Systems in Nanoscale Era (2007 DTIS) (IEEE, New York, 2007), pp. 164–169
P.K. Pal, R.S. Rathore, A.K. Rana, G. Saini, New low-power techniques: leakage feedback with Stack and Sleep stack with keeper, in Proceedings of the 2010 International Conference on Computer and Communication Technology (ICCCT) (IEEE, New York, 2010), pp. 296–301
S. Dropsho, V. Kursun, D.H. Albonesi, S. Dwarkadas, E.G. Friedman, Managing static leakage energy in microprocessor functional units, in Proceedings of the 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002 (MICRO-35) (IEEE, New York, 2002), pp. 321–332
S. Jeong, I. Lee, D. Blaauw, D. Sylvester, A 5.8 nW CMOS Wake-Up Timer for Ultra-Low-Power Wireless Applications. IEEE J. Solid State Circuits 50(8), 1754–1763 (2015)
T. Jang, M. Choi, S. Jeong, S. Bang, D. Sylvester, D. Blaauw, A 4.7 nW 13.8 ppm/∘ C self-biased wakeup timer using a switched-resistor scheme, in Proceedings of the 2016 IEEE International Solid-State Circuits Conference (ISSCC) (2016), pp. 102–102
S. Badel, Y. Leblebici, Breaking the power-delay tradeoff: design of low-power high-speed MOS current-mode logic circuits operating with reduced supply voltage, in Proceedings of the IEEE International Symposium on Circuits and Systems 2007 (ISCAS 2007) (IEEE, New York, 2007), pp. 1871–1874
A. Inoue, V.G. Dklobdzija, W.W. Walker, M. Kai, T. Izawa, A low power SOI adder using reduced-swing charge recycling circuits, in Proceedings of the 2001 IEEE International Solid-State Circuits Conference, 2001. Digest of Technical Papers (ISSCC) (IEEE, New York, 2001), pp. 316–317
M. Li, C.-I. Ieong, M.-K. Law, P.-I. Mak, M.-I. Vai, S. -H. Pun, R.-P. Martins, Energy Optimized Subthreshold VLSI Logic Family With Unbalanced Pull-Up/Down Network and Inverse Narrow-Width Techniques. IEEE Trans. Very Large Scale Integr. VLSI Syst. 23(12), 3119–3123 (2015)
H.-P. Keil, M. Momeni, A. Guntoro, A.G. Ortiz, M. Glesner, A novel leakage-estimation method for input-vector control, in Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2008 (APCCAS 2008) (IEEE, New York, 2008), pp. 570–573
H. Jeon, Y.-B. Kim, M. Choi, A novel technique to minimize standby leakage power in nanoscale CMOS VLSI, in Proceeding of the IEEE Instrumentation and Measurement Technology Conference, 2009 (I2MTC’09) (IEEE, New York, 2009), pp. 1372–1375
S. Mukhopadhyay, C. Neau, R.T. Cakici, A. Agarwal, C.H. Kim, K. Roy, Gate leakage reduction for scaled devices using transistor stacking. IEEE Trans. Very Large Scale Integr. VLSI Syst. 11(4), 716–730 (2003)
J.-L. Kuo, H. Wang, A 24 GHz CMOS power amplifier using reversed body bias technique to improve linearity and power added efficiency, in Proceedings of the 2012 IEEE MTT-S International Microwave Symposium Digest (MTT) (IEEE, New York, 2012), pp. 1–3
L. Xiao, C. Liu, Y. Sun, A novel adaptive reverse body bias technique to minimize standby leakage power and compensate process and temperature variations, in Cross Strait Quad-Regional Radio Science and Wireless Technology Conference (CSQRWC 2011), vol. 2 (IEEE, New York, 2011), pp. 1565–1568
K.K. Kim, Y.-B. Kim, Optimal body biasing for minimum leakage power in standby mode, in Proceedings of the IEEE International Symposium on Circuits and Systems, 2007 (ISCAS 2007) (IEEE, New York, 2007), pp. 1161–1164
K.K. Kim, Y.-B. Kim, Optimal body biasing for minimum leakage power in standby mode, in Proceedings of the IEEE International Symposium on Circuits and Systems, 2007 (ISCAS 2007) (IEEE, New York, 2007), pp. 1161–1164
W. Zhao, Y. Ha, M. Alioto, Novel self-body-biasing and statistical design for near-threshold circuits with ultra energy-efficient AES as case study. IEEE Trans. Very Large Scale Integr. VLSI Syst. 23(8), 1390–1401 (2015)
H.-S. Won, K.-S. Kim, K.-O. Jeong, K.-T. Park, K.-M. Choi, J.-T. Kong, An MTCMOS design methodology and its application to mobile computing, in Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003 (ISLPED’03) (IEEE, New York, 2003), pp. 110–115
Z. Liu, V. Kursun, Characterization of wake-up delay versus sleep mode power consumption and sleep/active mode transition energy overhead tradeoffs in MTCMOS circuits, in Proceeding of the 51st Midwest Symposium on Circuits and Systems, 2008 (MWSCAS 2008) (IEEE, 2008), pp. 362–365
J.P. Halter, F.N. Najm, A gate-level leakage power reduction method for ultra-low-power CMOS circuits, in Proceedings of the IEEE 1997 Custom Integrated Circuits Conference, 1997 (IEEE, 1997), pp. 475–478
A.P. Chandrakasan, S. Sheng, R.W. Brodersen, Low-power CMOS digital design. IEICE Trans. Electron. 75(4), 371–382 (1992)
G. Schrom, S. Selberherr, Ultra-low-power CMOS technologies, in Proceeding of the International Semiconductor Conference 1996, vol. 1 (IEEE, New York, 1996), pp. 237–246
A.P. Chandrakasan, R.W. Brodersen, Minimizing power consumption in digital CMOS circuits. Proc. IEEE 83(4), 498–523 (1995)
S.-M.S. Kang, Elements of low power design for integrated systems, in Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003 (ISLPED’03) (IEEE, New York, 2003), pp. 205–210
N. Verma, A.P. Chandrakasan, A 256 kb 65 nm 8t subthreshold SRAM employing sense-amplifier redundancy. IEEE J. Solid State Circuits 43(1), 141–149, 2008
H.-S. Won, K.-S. Kim, K.-O. Jeong, K.-T. Park, K.-M. Choi, J.-T. Kong, Hyperdimensional computing exploiting carbon nanotube FETs, Resistive RAM, and their monolithic 3D integration. IEEE J. Solid State Circuits 53(11), 3183–3196 (2018)
R. Patel, X. Guo, Q. Guo, E. Ipek, E.-G. Friedman, Reducing switching latency and energy in STT-MRAM caches with field-assisted writing. IEEE Trans. Very Large Scale Integr. VLSI Syst. 24(1), 129–138 (2016)
N.H. Weste, K. Eshraghian, Principles of CMOS VLSI design, vol. 188 (Addison-Wesley, New York, 1985)
W. Al-Assadi, A.P. Jayasumana, Y.K. Malaiya, Pass-transistor logic design. Int. J. Electron. Theor. Exp. 70(4), 739–749 (1991)
I.S. Abu-Khater, A. Bellaouar, M.I. Elmasry, Circuit techniques for CMOS low-power high-performance multipliers. IEEE J. Solid State Circuits 31(10), 1535–1546 (1996)
R. Zimmermann, W. Fichtner, Low-power logic styles: CMOS versus pass-transistor logic. IEEE J. Solid State Circuits 32(7), 1079–1090 (1997)
K. Yano, Y. Sasaki, K. Rikino, K. Seki, Top-down pass-transistor logic design. IEEE J. Solid State Circuits 31(6), 792–803 (1996)
M. Anis, M. Allam, M. Elmasry, Impact of technology scaling on CMOS logic styles. IEEE Trans. Circuits Syst. II Analog Digit. Signal Process. 49(8), 577–588 (2002)
S.-F. Hsiao, M.-Y. Tsai, C.-S. Wen, Transistor sizing and layout merging of basic cells in pass transistor logic cell library, in IEEE International Symposium on VLSI Design, Automation and Test, 2008 (VLSI-DAT 2008) (IEEE, New York, 2008), pp. 89–92
S. Kvatinsky, et al., Memristor-based material implication (IMPLY) logic: design principles and methodologies. IEEE Trans. Very Large Scale Integr. VLSI Syst. 22(10), 2054–2066 (2014)
S. Kvatinsky, et-al., MAGIC—Memristor-aided logic. IEEE Trans. Circuits Syst. II Express Briefs 61(11), 895–899 (2014)
J.-S. Friedman, A.-V. Sahakian, Complementary magnetic tunnel junction logic. IEEE Trans. Electron. Devices 61(4), 12070–1210 (2014)
M.W. Allam, M.H. Anis, M.I. Elmasry, High-speed dynamic logic styles for scaled-down CMOS and MTCMOS technologies, in Proceedings of the 2000 International Symposium on Low Power Electronics and Design (ACM, New York, 2000), pp. 155–160
N.F. Goncalves, H. De Man, NORA: A racefree dynamic CMOS technique for pipelined logic structures. IEEE J. Solid State Circuits 18(3), 261–266 (1983)
R. Hossain, High Performance ASIC Design (Cambridge University, Cambridge, 2008)
A. Morgenshtein, A. Fish, A. Wagner, Gate-diffusion input (GDI)-a novel power efficient method for digital circuits: a design methodology, in Proceedings of the 14th Annual IEEE InternationalASIC/SOC Conference, 2001 (IEEE, New York, 2001), pp. 39–43
A. Morgenshtein, A. Fish, I.A. Wagner, Gate-diffusion input (GDI)-a technique for low power design of digital circuits: analysis and characterization, in Proceedings of the IEEE International Symposium on Circuits and Systems, 2002 (ISCAS 2002), vol. 1 (IEEE, New York, 2002), pp. I–I
A. Morgenshtein, I. Shwartz, A. Fish, Gate diffusion input (GDI) logic in standard CMOS nanoscale process, in Proceedings of the 2010 IEEE 26th Convention of Electrical and Electronics Engineers in Israel (IEEEI) (IEEE, New York, 2010), pp. 000776–000780
V. Sze, A.P. Chandrakasan, A 0.4-v UWB baseband processor, in Proceedings of the 2007 International Symposium on Low Power Electronics and Design (ACM, New York, 2007), pp. 262–267
H. Soeleman, K. Roy, B.C. Paul, Robust subthreshold logic for ultra-low power operation. IEEE Trans. Very Large Scale Integr. VLSI Syst. 9(1), 90–99 (2001)
B. Nikolic, V.G. Oklobdzija, V. Stojanovic, W. Jia, J.K.-S. Chiu, M.M.-T. Leung, Improved sense-amplifier-based flip-flop: design and measurements. IEEE J. Solid State Circuits 35(6), 876–884 (2000)
A.-P. James, L.-R. Francis, D.-S. Kumar, Resistive threshold logic. IEEE Trans. Very Large Scale Integr. VLSI Syst. 2(1), 190–195 (2014)
V.-T. Gaikwad, P.-R. Deshmukh, Design of CMOS ternary logic family based on single supply voltage, in Proceedings of the 2015 International Conference on Pervasive Computing (ICPC) (2015), pp. 1–6
J.M. Rabaey, A.P. Chandrakasan, B. Nikolic, Digital Integrated Circuits, vol. 2 (Prentice Hall, Englewood Cliffs, 2002)
K. Roy, S. Mukhopadhyay, H. Mahmoodi-Meimand, Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits. Proc. IEEE 91(2), 305–327 (2003)
A. Agarwal, S. Mukhopadhyay, A. Raychowdhury, K. Roy, C.H. Kim, Leakage power analysis and reduction for nanoscale circuits. IEEE Micro 26(2), 68–80 (2006)
J. Kao, S. Narendra, A. Chandrakasan, Subthreshold leakage modeling and reduction techniques, in Proceedings of the 2002 IEEE/ACM International Conference on Computer-Aided Design (ACM, New York, 2002), pp. 141–148
B. Zhai, S. Hanson, D. Blaauw, D. Sylvester, Analysis and mitigation of variability in subthreshold design, in Proceedings of the 2005 International Symposium on Low Power Electronics and Design (ACM, New York, 2005), pp. 20–25
D. Bol, R. Ambroise, D. Flandre, J.-D. Legat, Analysis and minimization of practical energy in 45 nm subthreshold logic circuits, in Proceedings of the IEEE International Conference on Computer Design, 2008 (ICCD 2008) (IEEE, New York, 2008), pp. 294–300
C.-I. Kim, H. Soeleman, K. Roy, Ultra-low-power DLMS adaptive filter for hearing aid applications. IEEE Trans. Very Large Scale Integr. VLSI Syst. 11(6), 1058–1067 (2003)
Y.-S. Lin, D. Sylvester, D. Blaauw, A sub-pW timer using gate leakage for ultra low-power sub-Hz monitoring systems, in Proceedings of the IEEE Custom Integrated Circuits Conference, 2007 (CICC’07) (IEEE, New York, 2007), pp. 397–400
H. Soeleman, K. Roy, B. Paul, Robust ultra-low power sub-threshold DTMOS logic, in Proceedings of the 2000 International Symposium on Low Power Electronics and Design (ACM, New York, 2000), pp. 25–30
W.M. Penney, L. Lau, MOS Integrated Circuits: Theory, Fabrication, Design, and Systems Applications of MOS LSI (Krieger Publishing, Florida, 1979)
H. Soeleman, K. Roy, B. Paul, Sub-domino logic: ultra-low power dynamic sub-threshold digital logic, in Proceedings of the Fourteenth International Conference on VLSI Design, 2001 (IEEE, New York, 2001), pp. 211–214
D. Harris, M.A. Horowitz, Skew-tolerant domino circuits. IEEE J. Solid State Circuits 32(11), 1702–1711 (1997)
H. Soeleman, K. Roy, Ultra-low power digital subthreshold logic circuits, in Proceedings of the 1999 International Symposium on Low Power Electronics and Design (ACM, New York, 1999), pp. 94–96
S. Thompson, I. Young, J. Greason, M. Bohr, Dual Threshold Voltages and Substrate Bias: Keys to High Performance, Low Power, 0.1 m Logic Designs, in Proceedings of the IEEE Institute of Electrical and Electronics Symposium on VLSI Technology (1997), pp. 69–70
A. Morgenshtein, V. Yuzhaninov, A. Kovshilovsky, A. Fish, Full-Swing Gate Diffusion Input logic—Case-study of low-power CLA adder design. Integration VLSI J. 47(1), 62–70 (2014)
M. Alioto, G. Palumbo, Design strategies for source coupled logic gates. IEEE Trans. Circuits Systems I Fund. Theory Appl. 50(5), 640–654 (2003)
A. Tajalli, E.J. Brauer, Y. Leblebici, E. Vittoz, Subthreshold source-coupled logic circuits for ultra-low-power applications. IEEE J. Solid State Circuits 43(7), 1699–1710 (2008)
R.G. Dreslinski, M. Wieckowski, D. Blaauw, D. Sylvester, T. Mudge, Near-threshold computing: reclaiming Moore’s law through energy efficient integrated circuits. Proc. IEEE 98(2), 253–266 (2010)
A. Kaizerman, S. Fisher, A. Fish, Subthreshold dual mode logic. IEEE Trans. Very Large Scale Integr. VLSI Syst. 21(5), 979–983 (2012)
I. Levi, O. Bass, A. Kaizerman, A. Belenky, A. Fish, High speed dual mode logic carry look ahead adder, in Proceedings of the 2012 IEEE International Symposium on Circuits and Systems (ISCAS) (IEEE, New York, 2012), pp. 3037–3040
I. Levi, A. Kaizerman, A. Fish, Low voltage dual mode logic: model analysis and parameter extraction. Microelectron. J. 44(6), 553–560 (2013)
I. Levi, A. Fish, Dual mode logic—design for energy efficiency and high performance. IEEE Access 1, 258–265 (2013)
I. Levi, A. Belenky, A. Fish, Logical effort for cmos-based dual mode logic gates. IEEE Trans. Very Large Scale Integr. VLSI Syst. 22(5), 1042–1053 (2013)
A. Fish, A. Kaizerman, S. Fisher, I. Levy, Device and Method for Dual-mode Logic (2014). US Patent 8,901,965
R. Taco, I. Levi, M. Lanuzza, A. Fish, Evaluation of dual mode logic in 28 nm FD-SOI technology, in Proceedings of the 2017 IEEE International Symposium on Circuits and Systems (ISCAS) (IEEE, New York, 2017), pp. 1–4
V. Yuzhaninov, I. Levi, A. Fish, Design flow and characterization methodology for dual mode logic. IEEE Access 3, 3089–3101 (2015)
R. Taco, I. Levi, M. Lanuzza, A. Fish, An 88-fj/40-MHZ [0.4v]–0.61-pj/1-GHZ [0.9v] dual-mode logic 8 × 8 bit multiplier accumulator with a self-adjustment mechanism in 28-nm FD-SOI. IEEE J. Solid State Circuits 54(2), 560–568 (2018)
L. Moyal, I. Levi, A. Teman, A. Fish, Synthesis of dual mode logic. Integration 55, 246–253 (2016)
I. Levi, A. Albeck, A. Fish, S. Wimer, A low energy and high performance DM2 adder. IEEE Trans. Circuits Syst. I Regul. Pap. 61(11), 3175–3183 (2014)
R. Taco, I. Levi, M. Lanuzza, A. Fish, Live demo: an 88FJ/40 MHZ [0.4v]–0.61 pj/1ghz [0.9v] dual mode logic 8 × 8-bit multiplier accumulator with a self-adjustment mechanism in 28 nm fd-soi, in Proceedings of the 2019 IEEE International Symposium on Circuits and Systems (ISCAS) (IEEE, New York, 2019), pp. 1–1
A. Fish, A. Kaizerman, S. Fisher, I. Levy, Device and method for dual-mode logic (2014). US Patent 8,901,965
Author information
Authors and Affiliations
Rights and permissions
Copyright information
© 2021 Springer Nature Switzerland AG
About this chapter
Cite this chapter
Levi, I., Fish, A. (2021). Introduction. In: Dual Mode Logic. Springer, Cham. https://doi.org/10.1007/978-3-030-40786-5_1
Download citation
DOI: https://doi.org/10.1007/978-3-030-40786-5_1
Published:
Publisher Name: Springer, Cham
Print ISBN: 978-3-030-40785-8
Online ISBN: 978-3-030-40786-5
eBook Packages: EngineeringEngineering (R0)