Skip to main content

ALD Precursors and Reaction Mechanisms

  • Chapter
  • First Online:
Atomic Layer Deposition for Semiconductors

Abstract

Successful use of ALD requires chemical precursors with sufficient volatility, thermal stability, and self-limited reactivity with surfaces. Effective ALD precursors are now known for most non-radioactive elements and many of them are commercially available. This review describes their chemical types, typical properties, and reactivity. Suitable pairs of precursors can deposit some pure elements, oxides of most elements, nitrides of many elements, sulfides, selenides and tellurides of some elements, and phosphides, arsenides, carbides, and fluorides of few elements. The mechanisms of ALD reactions involve the transfer of atoms between precursor vapors and surfaces. The transferred atoms are either hydrogen, oxygen, fluorine, or chlorine.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 139.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 179.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 179.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Similar content being viewed by others

References

  1. Puurunen RL (2005) Surface chemistry of atomic layer deposition: a case study for the trimethylaluminum/water process. J Appl Phys 97:121–301

    Article  Google Scholar 

  2. Niinistö J, Kukli K, Heikkilä M, Ritala M, Leskela M (2009) Atomic layer deposition of high-k oxides of the group 4 metals for memory applications. Adv Eng Mater 11:223

    Google Scholar 

  3. Ritala M, Niinisto J (2009) Chemical vapour deposition: precursors, processes and applications. Jones AC, Hitchman ML (eds). Royal Society of Chemistry, Cambridge

    Google Scholar 

  4. George SM (2010) Atomic layer deposition: an overview. Chem Rev 110:111

    Article  CAS  Google Scholar 

  5. Ritala M, Niinisto J (2009) Industrial applications of atomic layer deposition. ECS Trans 25:641

    CAS  Google Scholar 

  6. Sundaram GM et al (2009) Thin films for 3D: ALD for non-planar topographies. Solid State Technol 52:12

    CAS  Google Scholar 

  7. Tsai W, Goel N, Koveshnikov S, Majhi P, Wang W (2009) Challenges of integration of high-κ dielectric with III-V materials (Invited paper). Microelectron Eng 86:1540

    Article  CAS  Google Scholar 

  8. Clancy P et al (2010) Analytical characterization of ALD thin film precursors. ECS Trans 28:349

    CAS  Google Scholar 

  9. Doll GL, Mensah BA, Mohseni H, Scharf TW (2010) Chemical vapor deposition and atomic layer deposition of coatings for mechanical applications. J Therm Spray Technol 19:510

    Article  CAS  Google Scholar 

  10. Molle A, Baldovino S, Spiga S, Fanciulli M (2010) High permittivity materials for oxide gate stack in Ge-based metal oxide semiconductor capacitors. Thin Solid Films 518:S96

    Article  CAS  Google Scholar 

  11. Puurunen RL, Kattelus H, Suntola T (2010) Atomic layer deposition in MEMS technology. Handbook of Silicon Based MEMS Materials and Technologies, Elsevier. Boston, p. 433

    Google Scholar 

  12. Verghese M, Maes JW, Kobayashi N (2010) Atomic layer deposition goes mainstream in 22 nm logic technologies. Solid State Technol 53:18

    CAS  Google Scholar 

  13. Kim H, Lee H-B-R, Maeng WJ (2009) Applications of atomic layer deposition to nanofabrication and emerging nanodevices. Thin Solid Films 517:2563

    Article  CAS  Google Scholar 

  14. Kittl JA et al (2009) High-k dielectrics for future generation memory devices (Invited Paper). Microelectron Eng 86:1789

    Article  CAS  Google Scholar 

  15. Knoops HCM et al (2009) Atomic layer deposition for all-solid-state 3D-integrated batteries. ECS Trans 25:333

    CAS  Google Scholar 

  16. Edelmann FT (2009) Lanthanide amidinates and guanidinates: from laboratory curiosities to efficient homogeneous catalysts and precursors for rare-earth oxide thin films. Chem Soc Rev 38:2253

    Article  CAS  Google Scholar 

  17. Godlewski M et al (2009) Barriers in miniaturization of electronic devices and the ways to overcome them—from a planar to 3D device architecture. Acta Phys Pol, A 116:S19

    CAS  Google Scholar 

  18. Hinkle CL, Milojevic M, Vogel EM, Wallace RM (2009) Surface passivation and implications on high mobility channel performance (Invited Paper). Microelectron Eng 86:1544

    Article  CAS  Google Scholar 

  19. Torndahl T (2004) Growth of copper metal by atomic layer deposition using copper(I) chloride, water and hydrogen as precursors. Thin Solid Films 458:129

    Article  Google Scholar 

  20. Knapas K, Rahtu A, Ritala M (2009) Etching of Nb2O5 thin films by NbCl5. Chem Vap Deposition 15:269

    CAS  Google Scholar 

  21. Yousfi EB, Fouache J, Lincot D (2000) Study of atomic layer epitaxy of zinc oxide by in situ quartz crystal microgravimetry. Appl Surf Sci 153:223

    Article  CAS  Google Scholar 

  22. Lukasiewicz M et al (2008) ZnCoO films obtained at low temperature by atomic layer deposition using organic zinc and cobalt precursors. Acta Physica Polonica 114:1235

    CAS  Google Scholar 

  23. Cho W et al (2003) Atomic layer deposition of Al2O3 thin films using dimethylaluminum isopropoxide and water. J Vac Sci Technol A: Vac, Surf Films 21:1366

    Article  CAS  Google Scholar 

  24. Bayev AK, Podkovyrov AI (1993) Thermodynamics and associative interactions in dimethylzinc-dimethylsulfur system. Zhurnal Khimicheskoi Termodinamiki i Termokhimii 2:80

    CAS  Google Scholar 

  25. Lebedev BV, Kulagina TG (1993) Thermodynamics of the dimethyl(dimethyl sulfide)zinc complex at temperatures from 0 to 330 K. J Chem Thermodyn 25:591

    Article  CAS  Google Scholar 

  26. Baev AK (1997) Thermodynamic study of structural changes in solutions of dimethyl-zinc with methyl compounds of Group VIB elements. Zh Neorg Khim 42:662

    CAS  Google Scholar 

  27. Niinistö J et al (2006) HfO[sub 2] Films grown by ALD using Cyclopentadienyl-type precursors and H2O or O3 as oxygen source. J Electrochem Soc 153:F39

    Article  Google Scholar 

  28. Niinistö J et al (2007) Atomic layer deposition of HfO2 thin films exploiting novel cyclopentadienyl precursors at high temperatures. Chem Mater 19:3319

    Article  Google Scholar 

  29. O’Kane R et al (2007) Growth of HfO2 by Liquid Injection MOCVD and ALD using new hafnium-cyclopentadienyl precursors. Chem Vap Deposition 13:609

    Article  Google Scholar 

  30. Ihanus J et al (2002) Atomic layer deposition of SrS and BaS thin films using cyclopentadienyl precursors. Chem Mater 14:1937

    Article  CAS  Google Scholar 

  31. Aaltonen T, Rahtu A, Ritala M, Leskela M (2003) Reaction mechanism studies on atomic layer deposition of ruthenium and platinum. Electrochem Solid-State Lett 6:C130

    Article  CAS  Google Scholar 

  32. Aaltonen T, Ritala M, Sajavaara T, Keinonen J, Leskelae M (2003) Atomic layer deposition of platinum thin films. Chem Mater 15:1924

    Article  CAS  Google Scholar 

  33. Jones AC et al (2006) MOCVD and ALD of high-j dielectric oxides using alkoxide precursors. Chem Vap Deposition 12:83

    Article  CAS  Google Scholar 

  34. Xie Q, Jiang Y-l, Detavernier C, Deduytsche D, Meirhaeghe RLV (2007) Atomic layer deposition of TiO2 from tetrakis-dimethyl-amido titanium or Ti isopropoxide precursors and H2O. J Appl Phys 102:1

    Google Scholar 

  35. Xie Q et al (2007) Atomic layer deposition of TiO2 from tetrakis-dimethyl-amido titanium or Ti isopropoxide precursors and H2O. J Appl Phys 102:083521

    Article  Google Scholar 

  36. Yang TS et al (2005) Atomic layer deposition of nickel oxide films using Ni(dmamp)[sub 2] and water. J Vac Sci Technol A: Vac, Surf Films 23:1238

    Article  CAS  Google Scholar 

  37. Roeckerath M et al (2008) Characterization of lanthanum lutetium oxide thin films grown by atomic layer deposition as an alternative gate dielectric. Thin Solid Films 517:201

    Article  CAS  Google Scholar 

  38. Kukli BK et al (2006) Atomic layer deposition and properties of lanthanum oxide and lanthanum-aluminum oxide films. Chem Vap Deposition 12:158

    Article  CAS  Google Scholar 

  39. Nieminen M (2001) Formation and stability of lanthanum oxide thin films deposited from β-diketonate precursor. Appl Surf Sci 174:155

    Article  CAS  Google Scholar 

  40. Kwon OS, Kim SK, Cho M, Hwang CS, Jeong J (2005) Chemically conformal ALD of SrTiO3 thin films using conventional metallorganic precursors. J Electrochem Soc 152:C229

    Article  CAS  Google Scholar 

  41. Biercuk MJ, Monsma DJ, Marcus CM, Becker JS, Gordon RG (2003) Low-temperature atomic-layer-deposition lift-off method for microelectronic and nanoelectronic applications. Appl Phys Lett 83:2405

    Article  CAS  Google Scholar 

  42. Hausmann DM, Kim E, Becker J, Gordon RG (2002) Atomic layer deposition of hafnium and zirconium oxides using metal amide precursors. Chem Mater 14:4350

    Article  CAS  Google Scholar 

  43. Becker JS, Kim E, Gordon RG (2004) Atomic layer deposition of insulating hafnium and zirconium nitrides. Chem Mater 16:3497

    Article  CAS  Google Scholar 

  44. Heo J, Hock AS, Gordon RG (2010) Low temperature atomic layer deposition of tin dioxide, SnO2. In: Proceedings of the AVS Atomic layer deposition conference

    Google Scholar 

  45. Heo J, Hock AS, Gordon RG (2010) Low temperature atomic layer deposition of tin oxide. Chem Mater 22:4964

    Article  CAS  Google Scholar 

  46. Sinsermsuksakul P, Hartman K, Kim S, Heo J, Sun L, Park HH, Chakraborty R, Buonassisi T, Gordon RG, (2013) Appl Phys Lett 102:0539011; Sinsermsuksakul P, Chakraborty R, Kim SB, Heald SM, Buonassisi T, Gordon RG (2012) Chem Mater, 24:4556; Heo J, Kim SB, Gordon RG (2012) J Mater Chem 22:4599; Heo J, Kim SB, Gordon RG (2012) Appl Phys Lett 101:113507/1; Heo J, Kim SB, Gordon RG (2012) Appl Phys Lett 101:113507; Heo J, Kim SB, Gordon RG (2012) J Mater Chem 22:4599

    Google Scholar 

  47. Li Z, Barry ST, Gordon RG (2005) Synthesis and characterization of copper(I) amidinates as precursors for atomic layer deposition (ALD) of copper metal. Inorg Chem 44:1728

    Article  CAS  Google Scholar 

  48. De Rouffignac P, Park J-S, Gordon RG (2005) Atomic layer deposition of Y2O3 thin films from Yttrium Tris(N, N’-diisopropylacetamidinate) and water. Chem Mater 17:4808

    Article  Google Scholar 

  49. Gordon RG (2004) New precursors for atomic layer deposition (ALD) of metals and diffusion barriers. In: Proceedings of the AVS atomic layer deposition conference

    Google Scholar 

  50. Lim BS, Rahtu A, Park J-S, Gordon RG (2003) Synthesis and characterization of volatile, thermally stable reactive transition metal amidinates. Inorg Chem 42:7951

    Article  CAS  Google Scholar 

  51. Lim BS, Rahtu A, Gordon RG (2003) Atomic layer deposition of transition metals. Nat Mater 2:749

    Article  CAS  Google Scholar 

  52. Li Z, Gordon RG, Pallem V, Li H, Shenai DV (2010) Direct-liquid-injection chemical vapor deposition of nickel nitride films and their reduction to nickel films. Chem Mater 22:3060

    Article  CAS  Google Scholar 

  53. Li Z, Gordon RG, Li H, Shenai DV, Lavoie C (2010) Formation of nickel silicide from direct-liquid-injection chemical-vapor-deposited nickel nitride films. J Electrochem Soc 157:H679

    Article  CAS  Google Scholar 

  54. Li Z, Rahtu A, Gordon RG (2006) Atomic layer deposition of ultrathin copper metal films from a liquid copper(I) amidinate precursor. J Electrochem Soc 153:C787

    Article  CAS  Google Scholar 

  55. Li Z, Gordon RG (2005) Atomic layer deposition of copper from Cu(I) Amidinates. In: Proceedings AVS atomic layer deposition conference

    Google Scholar 

  56. Liu Y, Xu M, Heo J, Ye PD, Gordon RG (2010) Heteroepitaxy of single-crystal LaLuO3 on GaAs(111)A by atomic layer deposition. Appl Phys Lett 97:162910/1

    Google Scholar 

  57. Wang H et al (2009) Atomic layer deposition of lanthanum-based ternary oxides. Electrochem Solid-State Lett 12:G13

    Article  CAS  Google Scholar 

  58. Gordon RG, Lehn J-S, Liu Y, Kim KH, Li Z, Couler M, Li H, Pugh R, Shenai D (2007) New precursors for ALD of High-k dielectrics. In: Proceedings of the AVS atomic layer deposition conference

    Google Scholar 

  59. Lee B et al (2009) A novel thermally-stable zirconium amidinate ALD precursor for ZrO2 thin films. Microelectron Eng 86:272

    Article  CAS  Google Scholar 

  60. Li H, Shenai DV, Lehn J-S (2010) Novel zirconium formamidinate precursor for the ALD of ZrO2. In: Proceedings of the materials research society symposium 1194E:A1105–1110. doi:10.1557/proc-1194-a05-10

  61. Li Z, Lee DK, Coulter M, Rodriguez LNJ, Gordon RG (2008) Synthesis and characterization of volatile liquid cobalt amidinates. Dalton Trans 19:2592–2597

    Google Scholar 

  62. Burton BB, Lavoie AR, George SM (2008) Tantalum nitride atomic layer deposition using (tert-Butylimido)tris(diethylamido)tantalum and Hydrazine. J Electrochem Soc 155:D508

    Article  CAS  Google Scholar 

  63. Gordon RG, Becker JS, Hausmann DM, Suh S (2005) US Patent 6,969,539

    Google Scholar 

  64. Pilvi T, Arstila K, Leskelä M, Ritala M (2007) Novel ALD process for depositing CaF2 thin films. Chem Mater 19:3387

    Article  CAS  Google Scholar 

  65. Hänninen T et al (1997) [Ca(Thd)2(Tetraen)]: a monomeric precursor for deposition of CaS thin films. Chem Mater 9:1234

    Article  Google Scholar 

  66. Kim JY, George SM (2010) Tin monosulfide thin films grown by atomic layer deposition using tin 2, 4-pentanedionate and hydrogen sulfide. J Phys Chem 114:17597

    CAS  Google Scholar 

  67. Sinsermsuksakul P, Hock AS, Gordon RG (2009) ALD of tin monosulfide, SnS. In: Proceedings of the AVS atomic layer deposition conference

    Google Scholar 

  68. Pore V, Hatanpää T, Ritala M, Leskelä M (2009) Atomic layer deposition of metal tellurides and selenides using alkylsilyl compounds of tellurium and selenium. J Am Chem Soc 131:3478

    Article  CAS  Google Scholar 

  69. Lee J-H et al. (2002) IEDM technical digest. In: IEEE international electron devices meeting

    Google Scholar 

  70. Rossnagel SM, Sherman A, Turner F (2000) Plasma-enhanced atomic layer deposition of Ta and Ti for interconnect diffusion barriers. J Vac Sci Technol B: Microelect Nanomet Struct 18:2016

    Google Scholar 

  71. Li Z, Gordon RG, Farmer DB, Lin Y, Vlassak J (2005) Nucleation and adhesion of ALD copper on cobalt adhesion layers and tungsten nitride diffusion barriers. Electrochem Solid-State Lett 8:G182

    Article  CAS  Google Scholar 

  72. Li Z, Gordon RG (2006) Thin, continuous, and conformal copper films by reduction of atomic layer deposited copper nitride. Chem Vapor Deposition 12:435

    Article  CAS  Google Scholar 

  73. Ma Q, Guo H, Gordon RG, Zaera F (2011) Surface chemistry of copper(I) acetamidinates in connection with atomic layer deposition (ALD) processes. Chem Mater 23:3325

    Article  CAS  Google Scholar 

  74. Hausmann DM, Gordon RG (2003) Surface morphology and crystallinity control in the atomic layer deposition (ALD) of hafnium and zirconium oxide thin films. J Cryst Growth 249:251

    Article  CAS  Google Scholar 

  75. Mårtensson P, Juppo M, Ritala M, Leskelä M, Carlsson J-O (1999) Use of atomic layer epitaxy for fabrication of Si/TiN/Cu structures. J Vac Sci Technol B: Microelect Nanomet Struct 17:2122

    Article  Google Scholar 

  76. Kim H (2003) Plasma-enhanced atomic layer deposition of tantalum thin films: the growth and film properties. Thin Solid Films 441:311

    Article  CAS  Google Scholar 

  77. Kim H, Cabral C, Lavoie C, Rossnagel SM (2002) Diffusion barrier properties of transition metal thin films grown by plasma-enhanced atomic-layer deposition. J Vac Sci Technol B: Microelectron Nanomet Struct 20:1321

    Article  CAS  Google Scholar 

  78. Becker JS, Gordon RG (2003) Diffusion barrier properties of tungsten nitride films grown by atomic layer deposition from bis(tert-butylimido)bis(dimethylamido)tungsten and ammonia. Appl Phys Lett 82:2239

    Article  CAS  Google Scholar 

  79. Becker JS, Suh S, Wang S, Gordon RG (2003) Highly conformal thin films of tungsten nitride prepared by atomic layer deposition from a novel precursor. Chem Mater 15:2969

    Article  CAS  Google Scholar 

  80. Mukhopadhyay AB, Musgrave CB (2007) The role of ammonia in atomic layer deposition of tungsten nitride. Appl Phys Lett 90:173120

    Article  Google Scholar 

  81. Gordon RG, Becker J, Hausmann D, Suh S (2001) Alternating layer chemical vapor deposition (ALD) of metal silicates and oxides for gate insulators. Mater Res Soc Symp Proc 670:K2 4/1

    Google Scholar 

  82. Gordon RG, Becker J, Hausmann D, Suh S (2001) Vapor deposition of metal oxides and silicates. Possible gate insulators for future microelectronics. Chem Mater 13:2463

    Article  CAS  Google Scholar 

  83. Hausmann D, Becker J, Wang S, Gordon RG (2002) Rapid vapor deposition of highly conformal silica nanolaminates. Science 298:402

    Article  CAS  Google Scholar 

  84. Dillon AC, Ott AW, Way JD, George SM (1995) Surface chemistry of Al2O3 deposition using Al(CH3)3 and H2O in a binary reaction sequence. Surf Sci 322:230

    Article  CAS  Google Scholar 

  85. George SM, Yoon B, Dameron AA (2009) Surface chemistry for molecular layer deposition of organic and hybrid organic-inorganic polymers. Acc Chem Res 42:498

    Article  CAS  Google Scholar 

  86. Lim J et al (2006) Dielectric properties of (ZrxTi1-x)O2 film on Ru/SiO2/Si substrates deposited by the atomic layer deposition using [Zr(OtBu)4 + Ti(OtBu)4] cocktail source. ECS Trans 1:153

    CAS  Google Scholar 

  87. Li M-Y et al. (2007) Effect of process pressure on atomic layer deposition of Al2O3. J Electrochem Soc 154:H967

    Google Scholar 

  88. Aaltonen T, Ritala M, Leskelä M (2005) ALD of rhodium thin films from Rh(acac)[sub 3] and oxygen. Electrochem Solid-State Lett 8:C99

    Article  CAS  Google Scholar 

  89. Aaltonen T, Ritala VM, Sammelselg I, Leskelä M (2004) Atomic layer deposition of iridium thin films. J Electrochem Soc 151:G489

    Google Scholar 

  90. Aaltonen T, Ritala M, Arstila K, Keinonen J, Leskelä M (2004) Atomic layer deposition of ruthenium thin films from Ru(thd)3 and oxygen. Chem Vap Deposition 10:215

    Article  CAS  Google Scholar 

  91. Hämäläinen J, Munnik F, Ritala M, Leskelä M (2008) Atomic layer deposition of platinum oxide and metallic platinum thin films from Pt(acac)2 and ozone. Chem Mater 20:6840

    Article  Google Scholar 

  92. Grubbs RK, Steinmetz NJ, George SM (2004) Gas phase reaction products during tungsten atomic layer deposition using WF[sub 6] and Si[sub 2]H[sub 6]. J Vac Sci Technol B: Microelectron Nanomet Struct 22:1811

    Article  CAS  Google Scholar 

  93. Knapas K, Hatanpää T, Ritala M, Leskelä M (2010) In Situ Reaction Mechanism Studies on Atomic Layer Deposition of Sb2Te3 and GeTe from (Et3Si)2Te and chlorides. Chem Mater 22:1386

    Article  CAS  Google Scholar 

  94. Pore V et al (2011) Atomic layer deposition of antimony and its compounds using dechlorosilylation reactions of Tris(triethylsilyl)antimony. Chem Mater 23:247

    Article  CAS  Google Scholar 

Download references

Acknowledgments

I want to thank my students and post-doctoral fellows who have worked together with me in this interesting, challenging, and important field of research: Titta Aaltonen, Sean Barry, Jill S. Becker, Mike Coulter, Damon Farmer, Dennis M. Hausmann, Adam Hock, Jaeyeong Heo, Daewon Hong, Esther Kim, Kyoung-ha Kim, Jean Sebastien Lehn, Sang Bok Kim, Booyong S. Lim, Don Keun Lee, Sang Woon Lee, Huazhi Li, Zhefeng Li, Zhengwen Li, Xinye Liu, Yiqun Liu, Hisashi Nakagawa, Jun Ni, Wontae Noh, Dawen Pang, Helen H. Park, Jin-Seong Park, Antti Rahtu, P. Venkateswara Rao, Leonard N. J. Rodriguez, Philippe de Rouffignac, Prasert Sinsermsuksakul, Amethyst Smith, Seigi Suh, Hongtao Wang, Shenglong Wang, Xinwei Wang, Sheng Xu, and Andrew P. Yousef.

Support was provided by the US National Science Foundation, Dow Chemical, IBM, and Intel.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Roy G. Gordon .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2014 Springer Science+Business Media New York

About this chapter

Cite this chapter

Gordon, R.G. (2014). ALD Precursors and Reaction Mechanisms. In: Hwang, C. (eds) Atomic Layer Deposition for Semiconductors. Springer, Boston, MA. https://doi.org/10.1007/978-1-4614-8054-9_2

Download citation

Publish with us

Policies and ethics