Skip to main content

Optical Interconnects in Next Generation Data Centers: An End to End View

  • Chapter
  • First Online:
Optical Interconnects for Future Data Center Networks

Part of the book series: Optical Networks ((OPNW))

Abstract

Bandwidth hungry applications such as real-time video processing are currently straining data center networking infrastructure. This is expected to become a more serious challenge in the near future. Optics enables massive data transmission rates: in telecom settings researchers have demonstrated rates in excess of 100 Tb/s in a single mode fiber; however, the data center presents a different set of challenges. Low cost, low power dissipation, low latency, small physical size, and the ability to integrate with mainstream silicon electronics are more important than the ability to transmit signals over long distances, the traditional telecoms focus. A clearer understanding of the requirements and technology challenges of the new applications which are changing the face of the Internet and the data center, should facilitate development of optical interconnection networks that will solve these challenges. By linking the end-to-end research areas we seek to identify critical technology gaps and enable the design of forward-looking, efficient, cost-effective, higher bandwidth data centers that can take optimal advantage of advances in multicore processors.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Qian Dayou, Huang M-F, Ip E, Huang Y-K, Shao Y, J Hu, Wang T (2012) High capacity/spectral efficiency 101.7-Tb/s WDM transmission using PDM-128QAM-OFDM Over 165-km SSMF within C- and L-bands. J Lightwave Technol 30(10):1540–1548

    Google Scholar 

  2. Kash JA, Benner A, Doany FE, Kuchta D, Lee BG, Pepeljugoski P, Schares L, Schow C, Taubenblatt M (2011) Optical interconnects in future servers. In: Optical fiber communication conference, Paper OWQ1

    Google Scholar 

  3. Benner AF, Ignatowski M, Kash JA, Kuchta DM, Ritter MB (2005) Exploitation of optical interconnects in future server architectures. IBM J Res Dev 49(4/5):755

    Google Scholar 

  4. Schow C, Doany F, Kash J (2010) Get on the optical bus. IEEE Spectrum 47(9):32–56

    Google Scholar 

  5. Glick M (2008) Optical interconnects in next generation data centers: an end to end view. In: Proceedings of the 2008 16th IEEE symposium on high performance interconnects, pp 178–181, August 2008

    Google Scholar 

  6. Farrington N, Porter G, Radhakrishnan S, Bazzaz HH, Subramanya V, Fainman Y (2010) Helios: a hybrid electrical/optical switch architecture for modular data centers. ACM SIGCOMM Comp Comm Rev 40(4):339–350

    Google Scholar 

  7. Glick M, Andersen DG, Kaminsky M, Mummert L (2009) Dynamically reconfigurable optical links for high-bandwidth data center networks. In: Optical Fiber Communication Conference, OFC 2009, pp 1–3

    Google Scholar 

  8. Wang G, Andersen DG, Kaminsky M, Papagiannaki K, Ng TS, Kozuch M, Ryan M (2010) c-Through: part-time optics in data centers. ACM SIGCOMM Comp Comm Rev 40(4):327–338

    Google Scholar 

  9. Petracca M, Lee BG, Bergman K, Carloni LP (2009) Photonic NoCs: system-level design exploration. IEEE Micro 29(4):74–85

    Google Scholar 

  10. Batten C, Joshi A, Orcutt J, Khilo A, Moss B, Holzwarth CW, Popovic MA, Li H, Smith HI, Hoyt JL, Kartner FX, Ram RJ, Stojanovic V, Asanovic K (2009) Building many-core processor-to-DRAM networks with monolithic CMOS silicon photonics. IEEE Micro 29(4)

    Google Scholar 

  11. Arregoces M, Portolani M (2003) Data center fundamentals. Data Center Fundamentals Cisco Press. ISBN: 1587050234

    Google Scholar 

  12. Hoelzle U, Barroso LA (2009) The datacenter as a computer: an introduction to the design of warehouse-scale machines (synthesis lectures on computer architecture). Morgan and Claypool Publishers. (http://www.morganclaypool.com/doi/pdf/10.2200/S00193ED1V01Y200905CAC006). ISBN: 159829556X

  13. Katz RH (2009) Tech Titans building boom. IEEE Spectrum 46(2):40–54

    Google Scholar 

  14. Armbrust M et al Above the clouds; A Berkeley view of cloud computing. http://www.eecs.berkeley.edu/Pubs/TechRpts/2009/EECS-2009--28.pdf. Accessed June 2012

  15. Netflix now biggest source of internet traffic in North America. http://www.huffingtonpost.com/2011/05/17/biggest-source-of-us-inte_n_}863474.html. Accessed June 2012

  16. Kozuch M, Campbell J, Glick M and Pillai P (2010) Cloud computing on rich data. Intel Technol J 14(1). http://www.intel.com/technology/itj/2010/v14i1/index.htm

  17. Dean J, Ghemawat S (2008) MapReduce: simplified data processing on large clusters. In: Communications of the ACM - 50th anniversary issue, vol 51, no 1. ACM , New York, pp 107–113

    Google Scholar 

  18. Ke Y, Sukthankar R, Hebert M (2007) Event detection in crowded videos. In: Proceedings of International Conference on Computer Vision, 2007, pp 1–8

    Google Scholar 

  19. Leininger B A next-generation system enables persistent surveillance of wide areas. http://spie.org/x23645.xml. Accessed July 2012

  20. Vangal S et al (2007) An 80-tile 1.28 TFLOPS network-on-chip in 65 nm CMOS. In: Intl. solid state circuits conference, Feb 2007, pp 98–100

    Google Scholar 

  21. Patterson D (2010) The trouble with multicore. IEEE Spectrum 47(7):28–32

    Google Scholar 

  22. Young IA, Mohammed E, Liao JTS, Kern AM, Palermo S, Block BA, Reshotko MR, Chang PLD (2010) Optical I/O technology for tera-scale computing. IEEE J Solid-State Circ 45(1):235–248

    Google Scholar 

  23. Balamurugan G, Casper B, Jaussi JE, Mansuri M, O’Mahony F, Kennedy J (2009) Modeling and analysis of high-speed I/O links. IEEE Trans Adv Packaging 32(2):237–247

    Google Scholar 

  24. Miller DAB (2009) Device requirements for optical interconnects to silicon chips. Proc IEEE 97(7):1166–1185

    Google Scholar 

  25. Young IA, Mohammed E, Liao JTS, Kern AM, Palermo S, Block BA, Reshotko MR, Chang PLD (2010) Optical technology for energy efficient I/O in high performance computing. IEEE Comm Mag 48(10):184–191

    Google Scholar 

  26. Gill P, Greenberg A, Jain N, Nagappan N (2011) Understanding network failures in data centers: measurement, analysis, and implications. ACM Sigcomm 41(4):350–361

    Google Scholar 

  27. Barham P et al (2003) Xen and the art of virtualization. In: ACM SIGOPS operating systems review archive, vol 37, no 5 (table of contents SOSP ’03), pp 164–177

    Google Scholar 

  28. Al-Fares M, Radhakrishnan S, Raghavan B, Huang N, Vahdat A (2010) Hedera: dynamic flow scheduling for data center networks. In: USENIX NSDI, NSDI’10 Proceedings of the 7th USENIX conference on Networked systems design and implementation, April 2010 pp 19–20 2010

    Google Scholar 

  29. Kandula S, Sengupta S, Greenberg A, Patel P, Chaiken R The nature of data center traffic: measurements & analysis. In: Proceedings of the 9th ACM SIGCOMM conference on Internet measurement conference, 04–06 November 2009, Chicago, IL, USA

    Google Scholar 

  30. Glick M, Benlachtar Y, Killey RI (2009) Performance and power consumption of digital signal processing based transceivers for optical interconnect applications. In: 11th International Conference on Transparent Optical Networks, ICTON 2009, pp 1–4

    Google Scholar 

  31. Barroso LA, Dean J, Holzle U (2003) Web search for a planet: the Google cluster architecture. IEEE Micro 23(2):22–28

    Google Scholar 

  32. Report to Congress on Server and Data Center eEnergy Efficiency, Public Law 109–431” US Environmental Protection Agency ENERGY STAR Program. http://www.energystar.gov/ia/partners/prod_development/downloads/EPA_Datacenter_Report_Congress_Final1.pdf Accessed 2 August 2007

  33. Koomey JG Estimating total power consumption by servers in the U.S. and the world. http://sites.amd.com/de/Documents/svrpwrusecompletefinal.pdf. Accessed May 2012

  34. Koomey JG Growth in data center electricity usage 2005 to 2010. http://www.migrationsolutions.co.uk/Content/Uploads/koomeydatacenterelectuse2011.pdf. Accessed July 2012

  35. Weiss A (2007) netWorker. Vol. 11:Issue 4.

    Google Scholar 

  36. Tucker R International Workshop on the Cloud/Grid/Utility Computing over Optical Networks OFC/NFOEC 2009, http://www.cse.buffalo.edu/Cloud/. Accessed August 2012

  37. Frachtenberg E, Heydari A, Li H, Michael A, Na J, Nisbet A, Sarti P (2011) High efficiency server design. In: Proceedings of the 24th IEEE/ACM international conference on high performance computing, networking, storage and analysis (SC) Seattle, WA, November 2011. Facebook server room tour ttp://www.youtube.com/watch?v=nhOo1ZtrH8c&}feature=g-hist&}context=G2a51b55AHT0RQGAABAA

  38. Barroso LA, Holzle U (2007) The case for energy-proportional computing. IEEE Comp 40:12

    Google Scholar 

  39. Abts D, Marty MR, Wells PM, Klausler P, Liu H (2010) Energy proportional datacenter networks. In: International Symposium on Computer Architecture, ACM (2010), pp 338–347

    Google Scholar 

  40. Farrington N, Rubow E, Vahdat A Data center switch architecture in the age of merchant silicon. In: 7th IEEE Symposium on High Performance Interconnects, pp 93–102

    Google Scholar 

  41. Al-Fares M, Loukissas A, Vahdat A (2008) A scalable, commodity, data center network architecture. In: Proceedings of ACM SIGCOMM, Seattle, WA, Aug 2008

    Google Scholar 

  42. Greenberg A, Jain N, Kandula S, Kim C, Lahiri P, Maltz D, Patel P, Sengupta S (2009) VL2: A scalable and flexible data center network. In: Proceedings of ACM SIGCOMM, Barcelona, Spain, Aug 2009

    Google Scholar 

  43. Guo C, Wu H, Tan K, Shi L, Zhang Y, Lu S (2008) DCell: a scalable and fault-tolerant network structure for data centers. In: Proceedings of ACM SIGCOMM, Seattle, WA, Aug 2008

    Google Scholar 

  44. Guo C, Lu G, Li D, Wu H, Zhang X, Shi Y, Tian C, Zhang Y, Lu S (2009) BCube: a high performance, server-centric network architecture for modular data centers. In: Proceedings of ACM SIGCOMM, Barcelona, Spain, Aug 2009

    Google Scholar 

  45. Mysore RN, Pamboris A, Farrington N, Huang N, Miri P, Radhakrishnan S, Subramanya V, Vahdat A (2009) Portland: a scalable fault-tolerant layer2 data center network fabric. In: Proceedings of ACM SIGCOMM, Barcelona, Spain, Aug 2009

    Google Scholar 

  46. Barker KJ et al On the feasibility of optical circuit switching for high performance computing systems. In: Proceedings of the ACM/IEEE SC 2005 Conference on Supercomputing, pp 16

    Google Scholar 

  47. Schares L, Zhang XJ, Wagle R, Rajan D, Selo P, Chang SP, Giles J, Hildrum K, Kuchta D, Wolf J, Schenfeld E (2009) A reconfigurable interconnect fabric with optical circuit switch and software optimizer for streamcomputing systems. In: Conference on Optical Fiber Communication, OFC 2009, pp 1–3

    Google Scholar 

  48. Wang G, Andersen DG, Kaminsky M, Kozuch M, Ng TSE, Papagiannaki K, Glick M, Mummert L Your data center is a router: the case for reconfigurable optical circuit switched paths. In: ACM HotNets’09

    Google Scholar 

  49. Bazzaz HH, Tewari M, Wang G, Porter G, Ng TSE, Andersen DG, Kaminsky M, Kozuch MA, Vahdat A (2011) Switching the optical divide: Fundamental challenges for hybrid electrical/optical datacenter networks. In: Proceedings of the 2nd ACM Symposium on Cloud Computing, pp 30

    Google Scholar 

  50. Farrington N, Fainman Y, Liu H, Papen G, Vahdat A (2011) Hardware requirement for optical circuit switched data center networks. In: Optical fiber conference (OFC/NFOEC’11), Mar 2011

    Google Scholar 

  51. Wang H, Garg AS, Bergman K, Glick M Design and demonstration of an all-optical hybrid packet and circuit switched network platform for next generation data centers. In: Conference on Optical Fiber Communication (OFC), 2010 (OFC/NFOEC), pp 1–3

    Google Scholar 

  52. Singla A, Singh A, Ramachandran K, Xu L, Zhang Y (2010) Proteus: a topology malleable data center network. In: ACM HotNets, Proceedings of the 9th ACM SIGCOMM Workshop on Hot Topics in Networks, Article no. 8

    Google Scholar 

  53. Soref R (2006) The past, present, and future of silicon photonics. IEEE J Sel Top Quant Electron 12(6):1678–1687

    Google Scholar 

  54. Selvaraja SK, Bogaerts W, Dumon P, Van Thourhout D, Baets RG (2010) Subnanometer linewidth uniformity in silicon nanophotonic waveguide devices using CMOS fabrication technology. IEEE J Sel Top Quant Electron 16(1):316–324

    Google Scholar 

  55. Selvaraja SK, Bogaerts W, Absil P, Thourhout DV, Baets R (2010) Record low-loss hybrid rib/wire waveguides for silicon photonic circuits. In: 7th International conference on Group IV Photonics, pp 1–3

    Google Scholar 

  56. Dong P, Qian W, Liao S, Liang H, Kung C-C, Feng N-N, Shafiiha R, Fong J, Feng D, Krishnamoorthy AV, Asghari M (2010) Low loss silicon waveguides for application of optical interconnects. In: Photonics society summer topical meeting series, IEEE, 19–21 July 2010, pp 191–192

    Google Scholar 

  57. Cardenas J, Poitras C, Robinson J, Preston K, Chen L, Lipson M (2009) Lowloss etchless silicon photonicwaveguides. Opt Express 17(6):4752–4757

    Google Scholar 

  58. Lipson M (2006) Compact electro-optic modulators on a Silicon chip. J Sel Top Quant Electron 12:1520

    Google Scholar 

  59. Marris-Morini D, Vivien L, Rasigade G, Fedeli J-M, Cassan E, Le Roux X, Crozat P, Maine S, Lupu A, Lyan P, Rivallin P, Halbwax M, Laval S (2009) Recent progress in high-speed Silicon-based optical modulators. Proc IEEE 97(7):1199–1215

    Google Scholar 

  60. Gardes FY, Thomson DJ, Emerson NG, Reed GT (2011) 40 Gb/s silicon photonics modulator for TE and TM polarisations. Opt Express 19(12):11804–11814

    Google Scholar 

  61. Liao L, Liu A, Rubin D, Basak J, Chetrit Y, Nguyen H, Cohen R, Izhaky N, Paniccia M (2007) 40 Gbit/s silicon optical modulator for high speed applications. Electron Lett 43(22):1196–1197

    Google Scholar 

  62. Zheng X, Liu F, Lexau J, Patil D, Li G, Luo Y, Thacker H, Shubin I, Yao J, Raj K, Ho R, Cunningham JE, Krishnamoorthy AV (2011) Ultra-low power arrayed CMOS Silicon photonic transceivers for an 80 Gbps WDM optical link. In: Optical fiber communication conference (OFC 2011), Paper PDPA

    Google Scholar 

  63. Rosenberg JC, Green WM, Assefa S, Barwicz T, Yang M, Shank SM, Vlasov YA (2011) Low-power 30 Gbps silicon microring modulator. In: CLEO- laser applications photonic applications, OSA Tech. Dig, Baltimore, MD, 2011, Paper PDPB9

    Google Scholar 

  64. Miller DAB (2012) Energy consumption in optical modulators for interconnects. Opt Express 20(S2):A293

    Google Scholar 

  65. Watts MR, Zortman WA, Trotter DC, Young RW, Lentine AL (2011) Vertical junction silicon microdisk modulators and switches. Opt Express 19(22):21989–22003

    Google Scholar 

  66. Vantrease D, Schreiber R, Monchiero M, McLaren M, Jouppi NP, Fiorentino M, Davis A, Binkert N, Beausoleil RG, Ahn JH (2008) Corona: System implications of emerging nanophotonic technology. In: Proceedings of the 35th international symposium on computer architecture, Beijing, China, June 2008

    Google Scholar 

  67. Joshi A, Batten C, Kwon Y-J, Beamer S, Shamim I, Asanovic K, Stojanovic V (2009) Silicon-photonic clos networks for global on-chip communication. In: Proceedings of the 2009 3rd ACM/IEEE international symposium on networks-on-chip, pp 124–133, 10–13May 2009

    Google Scholar 

  68. Lee BG, Biberman A, Sherwood-Droz N, Poitras CB, Lipson M, Bergman K (2009) High-speed 2 ×2 switch for multiwavelength silicon-photonic networks–on-chip. J Lightwave Technol 27(14):2900–2907

    Google Scholar 

  69. Yang M, Green WMJ, Assefa S, Van Campenhout J, Lee BG, Jahnes CV, Doany FE, Schow CL, Kash JA, Vlasov Y (2011) A Non-blocking 4x4 electro-optic silicon switch for on-chip photonic networks. Opt Express 19(1):47–54

    Google Scholar 

  70. Zortman WA, Lentine AL, Trotter DC, Watts MR (2011) Low-voltage differentially-signaled modulators. Opt Express 19(27):26017–26026

    Google Scholar 

  71. DeRose CT, Watts MR, Trotter DC, Luck DL, Nielson GN, Young RW Silicon microring modulator with integrated heater and temperature sensor for thermal control. In: Conference on lasers and electro-optics, OSA Technical Digest (CD), Paper CThJ3. Optical Society of America, 2010

    Google Scholar 

  72. Teng J, Dumon P, Bogaerts W, Zhang H, Jian X, Han X, Zhao M, Morthier G, Baets R (2009) Athermal silicon-on-insulator ring resonators by overlaying a polymer cladding on narrowed waveguides. Opt Express 17:14627–14633

    Google Scholar 

  73. Raghunathan V, Ye WN, J Hu, Izuhara T, Michel J, Kimerling L (2010) Athermal operation of Silicon waveguides: spectral, second order and footprint. Optics Express 18(17):17631–17639

    Google Scholar 

  74. Guha B, Kyotoku BB, Lipson M (2010) CMOS-compatible athermal silicon microring resonators. Opt Express 18(4):3487–3493

    Google Scholar 

  75. Chen L, Lipson M (2009) Ultra-low capacitance and high speed germanium photodetectors on silicon. Opt Express 17(10):7901–7906

    Google Scholar 

  76. Reshotko MR, Block BA, Jin B, Chang P (2008) Waveguide coupled Ge-on-oxide photodetectors for integrated optical links. In: 5th IEEE international conference on group IV photonics, 2008, pp 182–184

    Google Scholar 

  77. Feng N-N, Dong P, Zheng D, Liao S, Liang H, Shafiiha R, Feng D, Li G, Cunningham JE, Krishnamoorthy AV, Asghari M (2010) Vertical p-i-n germanium photodetector with high external responsivity integrated with large core Si waveguides. Opt Express 18(1):96–101

    Google Scholar 

  78. Ahn D, Hong C-Y, Liu J, Giziewicz W, Beals M, Kimerling LC, Michel J, Chen J, Kärtner FX (2007) High performance, waveguide integrated Ge photodetectors. Opt Express 15(7):3916–3921

    Google Scholar 

  79. Pavesi L, Lockwood DJ (2004) Silicon photonics. Springer, New York

    Google Scholar 

  80. Rong H et al (2005) A continuous-wave Raman silicon laser. Nature 433:725–728

    Google Scholar 

  81. Boyraz O, Jalali B (2004) Demonstration of a silicon Raman laser. Opt Express 12:5269

    Google Scholar 

  82. Fang AW, Park H, Cohen O, Jones R, Paniccia M, Bowers JE (2006) Electrically pumped hybrid AlGaInAs-silicon evanescent laser. Opt Express 14:9203–9210

    Google Scholar 

  83. Sun X, Liu J, Kimerling LC, Michel J (2010) Toward a germanium laser for integrated silicon photonics. IEEE Sel Top Quant Electron 16:124–131

    Google Scholar 

  84. Michel J, Camacho-Aguilera RE, Gai Y, Patel N, Bessette JT, Romagnoli M, Dutt R, Kimerling L An electrically pumped Ge on Si laser. In: OFC 2012 PDP5A.6

    Google Scholar 

Download references

Acknowledgements

The author would like to thank many colleagues and collaborators who have contributed to these ideas through research collaborations and discussions, special thanks to Keren Bergman, Robert Killey, Lily Mummert, Phil Watts, and Kevin Williams.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Madeleine Glick .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Glick, M. (2013). Optical Interconnects in Next Generation Data Centers: An End to End View. In: Kachris, C., Bergman, K., Tomkos, I. (eds) Optical Interconnects for Future Data Center Networks. Optical Networks. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-4630-9_3

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-4630-9_3

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-4629-3

  • Online ISBN: 978-1-4614-4630-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics