Skip to main content

Characterization of SRAM Sense Amplifier Input Offset for Yield Prediction

  • Chapter
  • First Online:
Nanometer Variation-Tolerant SRAM
  • 1767 Accesses

Abstract

Random variations play a critical role in determining SRAM yield because they affect both the bitcell and the read sense amplifiers (SA). In this chapter, a process control monitor for SRAM SA offset is proposed and implemented in 28nm LP CMOS technology. The monitor accurately measures SA offset from a large sample size and accounts for all the layout proximity effects. The all-digital design of the monitor makes it appropriate for low voltage testing, high speed data collection, and migration to newer technologies. Detailed measurement results are provided for two of the most commonly used sense amplifiers at different supply voltage and temperature conditions. Statistical yield estimation using the measured sense amplifier offset correlates well with measured yield for a 512Kb SRAM.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. S. Mukhopadhyay, H. Mahmoodi, K. Roy, Statistical design and optimization of SRAM cell for yield enhancement, in Proceedings of International Conference on, Computer Aided Design, 2004, pp. 10–13

    Google Scholar 

  2. M. H. Abu-Rahma, K. Chowdhury, J. Wang, Z. Chen, S. S. Yoon, M. Anis, A methodology for statistical estimation of read access yield in SRAMs, in Proceedings of the 45th Conference on Design Automation, 2008, pp. 205–210

    Google Scholar 

  3. M. Abu-Rahma, Y. Chen, W. Sy, W. L. Ong, L. Y. Ting, S. S. Yoon, M. Han, E. Terzioglu, "Characterization of SRAM sense amplifier input offset for yield prediction in 28 nm CMOS”, in IEEE Custom Integrated Circuits Conference (CICC), September 2011

    Google Scholar 

  4. F. Klass, A. Jain, G. Hess, B. Park, An all-digital on-chip process-control monitor for process-variability measurements, in Proceedings of the International Solid-State Circuits Conference (ISSCC), 2008, pp. 408–623

    Google Scholar 

  5. R. Venkatraman, R. Castagnetti, S. Ramesh, The statistics of device variations and its impact on SRAM bitcell performance, leakage and stability, in Proceedings of the International Symposium on Quality of Electronic Design (ISQED), 2006, pp. 190–195

    Google Scholar 

  6. S. O. Toh, Z. Guo, and B. Nikolić and, "Dynamic SRAM stability characterization in 45 nm CMOS”, in IEEE Symposium on VLSI Circuits (VLSIC), June 2010, pp. 35–36

    Google Scholar 

  7. Z. Guo, A. Carlson, L.-T. Pang, K. Duong, T.-J. K. Liu, B. Nikolić, Large-scale SRAM variability characterization in 45 nm CMOS, IEEE J. Solid-State Circuits, 44(11), pp. 3174–3192, November 2009

    Google Scholar 

  8. J. Tsai, S.O. Toh, Z. Guo, L.-T. Pang, T.-J.K. Liu, B. Nikolić, SRAM stability characterization using tunable ring oscillators in 45nm CMOS, in IEEE. International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), February 2010, 354–355

    Google Scholar 

  9. M. Qazi, K. Stawiasz, L. Chang, A. Chandrakasan, A 512kb 8T SRAM macro operating down to 0.57 v with an AC-coupled sense amplifier and embedded data-retention-voltage sensor in 45 nm SOI CMOS, in IEEE. International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), February 2010, 350–351

    Google Scholar 

  10. B. Nikolić and, B. Giraud, Z. Guo, L.-T. Pang, J.-H. Park, and S. O. Toh, "Technology variability from a design perspective”, in IEEE Custom Integrated Circuits Conference (CICC), September 2010, pp. 1–8

    Google Scholar 

  11. T. Fischer, C. Otte, D. Schmitt-Landsiedel, E. Amirante, A. Olbrich, P. Huber, M. Ostermayr, T. Nirschl, J. Einfeld, "A 1 Mbit SRAM test structure to analyze local mismatch beyond 5 sigma variation”, in Proceedings of the IEEE International Conference on Microelectronic Test Structures (ICMTS), pp. 63–66, 2007

    Google Scholar 

  12. B. Nikolic, J.-H. Park, J. Kwak, B. Giraud, Z. Guo, L.-T. Pang, S. O. Toh, R. Jevtic, K. Qian, C. Spanos, "Technology variability from a design perspective”, In: IEEE Trans.Regul. Pap.Circ and Syst.,58(9), pp. 1996–2009, September 2011

    Google Scholar 

  13. Y.-H. Chen, S.-Y. Chou, Q. Lee, W.-M. Chan, D. Sun, H.-J. Liao, P. Wang, M.-F. Chang, H. Yamauchi, A 40 nm fully functional SRAM with bl swing and wl pulse measurement scheme for eliminating a need for additional sensing tolerance margins, in Symposium on VLSI Circuits (VLSIC), June 2011, pp. 70–71

    Google Scholar 

  14. Y.-H. Chen, S.-Y. Chou, Q. Li, W.-M. Chan, D. Sun, H.-J. Liao, P. Wang, M.-F. Chang, H. Yamauchi, “Compact measurement schemes for bit-line swing, sense amplifier offset voltage, and word-line pulse width to characterize sensing tolerance margin in a 40 nm fully functional embedded SRAM”, IEEE J. Solid-State Circ.PP(99) 1–12 (2012)

    Google Scholar 

  15. M. Suzuki, T. Saraya, K. Shimizu, A. Nishida, S. Kamohara, K. Takeuchi, S. Miyano, T. Sakurai, and T. Hiramoto, "Direct measurements, analysis, and post-fabrication improvement of noise margins in SRAM cells utilizing DMA SRAM TEG”, in Symposium on VLSI Technology (VLSIT), June 2010, pp. 191–192

    Google Scholar 

  16. R. Heald and P. Wang, "Variability in sub-100 nm SRAM designs”, in Proceedings of International conference on, Computer Aided Design (ICCAD), 2004, pp. 347–352

    Google Scholar 

  17. R. Houle, Simple statistical analysis techniques to determine minimum sense amp set times,Proceedings of IEEE Custom Integrated Circuits conference, pp. 37–40, 2007

    Google Scholar 

  18. U. Schaper, J. Einfeld, A. Sauerbrey, "Parameter Variation on Chip-Level”, in Proceedings of the 2005 International Conference on Microelectronic Test Structures (ICMTS), 2005

    Google Scholar 

  19. J. Johnson, T. Hook, Y.-M. Lee, "Analysis and modeling of threshold voltage mismatch for CMOS at 65 nm and beyond”, IEEE Electron Device Lett. 29(7), pp. 802–804

    Google Scholar 

  20. J. Mc Ginley, O. Noblanc, C. Julien, S. Parihar, K. Rochereau, R. Difrenza, P. Llinares, Impact of pocket implant on MOSFET mismatch for advanced CMOS technology, in Proceedings of The International Conference on Microelectronic Test Structures (ICMTS), March 2004, 123–126

    Google Scholar 

  21. M. Pelgrom, A. Duinmaijer, A. Welbers, Matching properties of MOS transistors. IEEE J. Solid-State Circ. 24(5), 1433–1439 (1989)

    Google Scholar 

  22. C.M. Mezzomo, A. Bajolet, A. Cathignol, E. Josse, G. Ghibaudo, Modeling local electrical fluctuations in 45 nm heavily pocket-implanted bulk mosfet, SolidState Electron.54(11), pp. 1359–1366 (2010)

    Google Scholar 

  23. C. M. Mezzomo, A. Bajolet, A. Cathignol, G. Ghibaudo, Drain-current variability in 45 nm bulk n-mosfet with and without pocket-implants, Solid-State Electronics, 26(3), 1920-1926 (2011)

    Google Scholar 

  24. C. Mezzomo, A. Bajolet, A. Cathignol, R. Di Frenza, G. Ghibaudo, Characterization and modeling of transistor variability in advanced CMOS technologies, IEEE Trans. Electron. Devices, 58, (8), pp. 2235–2248, August 2011

    Google Scholar 

  25. X. Deng, W. K. Loh, B. Pious, T. Houston, L. Liu, B. Khan, D. Corum, Characterization of bit transistors in a functional SRAM, Proceedings of IEEE Symposium on VLSI Circuits, pp. 44–45, 2008

    Google Scholar 

  26. J. Wang, P. Liu, Y. Gao, P. Deshmukh, S. Yang, Y. Chen, W. Sy, L. Ge, E. Terzioglu, M. Abu-Rahma, M. Garg, S. S. Yoon, M. Han, M. Sani, and G. Yeap, Non-Gaussian distribution of SRAM read current and design impact to low power memory using voltage acceleration method, in Symposium on VLSI Technology (VLSIT), June 2011, pp. 220–221

    Google Scholar 

  27. S. Mukhopadhyay, K. Kim, K. Jenkins, C.-T. Chuang, K. Roy, Statistical characterization and on-chip measurement methods for local random variability of a process using sense-amplifier-based test structure, in Proceedings of the International Solid-State Circuits Conference (ISSCC), pp. 400–611, Feb. 2007

    Google Scholar 

  28. B. Razavi, B.A. Wooley, Design techniques for high-speed, high-resolution comparators. IEEE J. SolidState Circ. 27, 1916–1926 (1992)

    Google Scholar 

  29. T. Kobayashi, K. Nogami, T. Shirotori, Y. Fujimoto, A current-controlled latch sense amplifier and a static power-saving input buffer for low-power architecture, IEEE J. SolidState Circ. 28(4), 523–527 (1993)

    Google Scholar 

  30. P. Chidambaram, C. Gan, S. Sengupta, L. Ge, Y. Chen, S. Yang, P. Liu, J. Wang, M. Yang, C. Teng, Y. Du, P. Patel, P. Kamal, R. Bucki, F. Vang, A. Datta, K. Bellur, S. Yoon, N. Chen, A. Thean, M. Han, E. Terzioglu, X. Zhang, J. Fischer, M. Sani, B. Flederbach, G. Yeap, Cost effective 28 nm LP SoC technology optimized with circuit/device/process co-design for smart mobile devices, in IEEE, International Electron Devices Meeting (IEDM), December 2010, pp. 27.3.1 -27.3.4

    Google Scholar 

  31. P. Andricciola, H. Tuinhout, The temperature dependence of mismatch in deep-submicrometer bulk MOSFETs. IEEE Electron Device Lett. 30(6), 690–692 2009

    Google Scholar 

  32. Y. Cheng and C. Hu, MOSFET Modeling and BSIM User Guide. (Kluwer Academic Publishers, Massachusetts,1999)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to M. H. Abu-Rahma .

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Abu-Rahma, M.H., Anis, M. (2013). Characterization of SRAM Sense Amplifier Input Offset for Yield Prediction. In: Nanometer Variation-Tolerant SRAM. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-1749-1_6

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-1749-1_6

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-1748-4

  • Online ISBN: 978-1-4614-1749-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics