Skip to main content

Reducing SRAM Power Using Fine-Grained Wordline Pulse Width Control

  • Chapter
  • First Online:
Nanometer Variation-Tolerant SRAM

Abstract

In the previous chapters, we explored how process variations affect memory operation and how circuit techniques help provide variation tolerance. In this chapter, we look at variation-tolerant architectures and propose fine-grained wordline pulse width control to reduce the memory power. Section 4.1 gives an overview of variation-tolerant memory architectures and system approaches. Section 4.2 describes the problem of memory power consumption. In Sect. 4.3, we derive statistical models for memory yield and array power consumption and show the tradeoff between yield and power metrics. In Sect. 4.5, we describe the proposed solution which combines memory built-in self test (BIST) with programmable delay elements in a closed loop to reduce the power consumption. In Sect. 4.6, we present the statistical simulation flow used to estimate power savings using the proposed system (applied for memories in industrial 45 nm technology), and design considerations related to the proposed system. In Sect. 4.7, we summarize our findings.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    The statistical models are provided by the foundry, and the statistical data are extracted from measuring a large sample of bitcells as shown in [27]. Random WID variations are reflected in the Spice model by varying \(V_\mathrm{th}\), \(W\), \(L\) and other parameters of the bitcell devices according to the measured statistics.

  2. 2.

    Here, we assume that all memories are accessed simultaneously. For our analysis, this is a fair assumption since we do not make any assumptions related to how the system accesses these memories. Nevertheless, the same simulation flow can be used if the switching activity for each memory is known.

References

  1. K. Itoh, M. Horiguchi, M. Yamaoka, Low-voltage limitations of memory-rich nano-scale CMOS LSIs, in 37th European Solid State Device Research Conference (ESSDERC 2007), Sept 2007, pp. 68–75

    Google Scholar 

  2. A. Agarwal, B. Paul, H. Mahmoodi, A. Datta, K. Roy, A process-tolerant cache architecture for improved yield in nanoscale technologies. IEEE Trans. Very Large Scale Integr. VLSI Syst. 13(1), 27–38

    Google Scholar 

  3. Y.-C. Lai, S.-Y. Huang, H.-J. Hsu, Resilient self-v -tuning scheme with speed-margining for low-power SRAM. IEEE J. Solid-State Circuits 44(10), 2817–2823

    Google Scholar 

  4. S. Mukhopadhyay, K. Kim, H. Mahmoodi, K. Roy, Design of a process variation tolerant self-repairing SRAM for yield enhancement in nanoscaled CMOS. IEEE J. Solid-State Circuits 42(6), 1370–1382

    Google Scholar 

  5. M. Yamaoka, N. Maeda, Y. Shimazaki, K. Osada, 65nm low-power high-density SRAM operable at 1.0 v under 3\(\sigma \); systematic variation using separate Vth monitoring and body bias for NMOS and PMOS”, in IEEE international Solid-State Circuits Conference (ISSCC 2008), Digest of Technical Papers, Feb 2008, pp. 384–622

    Google Scholar 

  6. O. Hirabayashi, A. Kawasumi, A. Suzuki, Y. Takeyama, K. Kushida, T. Sasaki, A. Katayama, G. Fukano, Y. Fujimura, T. Nakazato, Y. Shizuki, N. Kushiyama, T. Yabe, A process-variation-tolerant dual-power-supply SRAM with 0.179 um2 cell in 40 nm CMOS using level-programmable wordline driver”, in IEEE international Solid-State Circuits Conference—Digest of Technical Papers (ISSCC 2009), Feb 2009, pp. 458–459,459a

    Google Scholar 

  7. E. Karl, Y. Wang, Y.-G. Ng, Z. Guo, F. Hamzaoglu, U. Bhattacharya, K. Zhang, K. Mistry, M. Bohr, A 4.6 GHz 162 Mb SRAM design in 22 nm tri-gate CMOS technology with integrated active VMIN-enhancing assist circuitry. in IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), Feb 2012, pp. 230–232

    Google Scholar 

  8. M. Abu-Rahma, M. Anis, and S. S. Yoon, Reducing SRAM power using fine-grained wordline pulsewidth control. IEEE Trans. Very Large Scale Integr. VLSI Syst. 18(3), 356–364

    Google Scholar 

  9. F. Kurdahi, A. Eltawil, Y.-H. Park, R. Kanj, S. Nassif, System-level SRAM yield enhancement. in 7th International Symposium on Quality Electronic Design (ISQED ’06), March 2006, pp. 6–184

    Google Scholar 

  10. I. J. Chang, D. Mohapatra, K. Roy, A voltage-scalable and process variation resilient hybrid SRAM architecture for MPEG-4 video processors, in 46th ACM/IEEE Design Automation Conference (DAC ’09), July 2009, pp. 670–675

    Google Scholar 

  11. A. Agarwal, B. Paul, S. Mukhopadhyay, K. Roy, Process variation in embedded memories: failure analysis and variation aware architecture. IEEE J. Solid-State Circuits 40(9), 1804–1814

    Google Scholar 

  12. Y. Zorian, S. Shoukourian, Embedded-memory test and repair: infrastructure IP for SoC yield. IEEE Des. Test Comput. 20(3), 58–66 (2003)

    Article  Google Scholar 

  13. M. Pelgrom, H. Tuinhout, M. Vertregt, Transistor matching in analog CMOS applications. in Proceedings of the International Electron Devices Meeting (IEDM) 1998, pp. 915–918

    Google Scholar 

  14. T.-C. Chen, Where is CMOS going: trendy hype versus real technology. in Proceedings of the International Solid-State Circuits Conference (ISSCC) 2006, pp. 22–28

    Google Scholar 

  15. R. Heald, P. Wang, Variability in sub-100 nm SRAM designs. in Proceedings of International Conference on Computer Aided Design 2004, pp. 347–352

    Google Scholar 

  16. K. Agarwal, S. Nassif, Statistical analysis of SRAM cell stability. in Proceedings of the 43rd Annual Conference on Design automation 2006 (DAC ’06), pp. 57–62

    Google Scholar 

  17. R. Venkatraman, R. Castagnetti, S. Ramesh, The statistics of device variations and its impact on SRAM bitcell performance, leakage and stability”, in Proceedings of the International Symposium on Quality of Electronic Design (ISQED), 2006, pp. 190–195

    Google Scholar 

  18. M. Q. Do, M. Drazdziulis, P. Larsson-Edefors, L. Bengtsson, Parameterizable architecture-level SRAM power model using circuit-simulation backend for leakage calibration, in Proceedings of the International Symposium on Quality of Electronic Design (ISQED), 2006, pp. 557–563

    Google Scholar 

  19. A. Macii, L. Benini, M. Poncino, Memory Design Techniques for Low Energy Embedded Systems (Kluwer Academic Pub, 2002)

    Google Scholar 

  20. B. Amrutur, M. Horowitz, A replica technique for wordline and sense control in low-power SRAM’s. IEEE J. Solid-State Circuits 33(8), 1208–1219 (1998)

    Google Scholar 

  21. K. Osada, J.-U. Shin, M. Khan, Y.-D. Liou, K. Wang, K. Shoji, K. Kuroda, S. Ikeda, K. Ishibashi, Universal-Vdd 0.65–2.0 V 32 kB cache using voltage-adapted timing-generation scheme and a lithographical-symmetric cell. in Proceedings of the International Solid-State Circuits Conference (ISSCC 2001), pp. 168–169, 443

    Google Scholar 

  22. M. Yamaoka, N. Maeda, Y. Shinozaki, Y. Shimazaki, K. Nii, S. Shimada, K. Yanagisawa, T. Kawahara, Low-power embedded SRAM modules with expanded margins for writing. in Proceedings of the International Solid-State Circuits Conference (ISSCC 2005), Vol 1, pp. 480–611

    Google Scholar 

  23. D. Marculescu, E. Talpes, Variability and energy awareness: a microarchitecture-level perspective. in Proceedings of the 42nd Annual Conference on Design automation (DAC ’05), 2005, pp. 11–16

    Google Scholar 

  24. S. Borkar, T. Karnik, S. Narendra, J. Tschanz, A. Keshavarzi, V. De, Parameter variations and impact on circuits and microarchitecture. in Proceedings of the 40th conference on Design automation (DAC ’03), 2003, pp. 338–342

    Google Scholar 

  25. M. H. Abu-Rahma, K. Chowdhury, J. Wang, Z. Chen, S. S. Yoon, M. Anis, A methodology for statistical estimation of read access yield in SRAMs. in Proceedings of the 45th Conference on Design Automation (DAC ’08), 2008, pp. 205–210

    Google Scholar 

  26. S. Mukhopadhyay, H. Mahmoodi, K. Roy, Statistical design and optimization of SRAM cell for yield enhancement. in Proceedings of International Conference on Computer Aided Design (DAC ’08), 2004, pp. 10–13

    Google Scholar 

  27. T. Fischer, C. Otte, D. Schmitt-Landsiedel, E. Amirante, A. Olbrich, P. Huber, M. Ostermayr, T. Nirschl, J. Einfeld, A 1 Mbit SRAM test structure to analyze local mismatch beyond 5 sigma variation. in Proceedings of the IEEE International Conference on Microelectronic Test Structures (ICMTS ’07), 2007, pp. 63–66

    Google Scholar 

  28. R. Rao, A. Srivastava, D. Blaauw, D. Sylvester, Statistical analysis of subthreshold leakage current for VLSI circuits. IEEE Trans Very Large Scale Integr. Syst. 12(2), 131–139 (2004)

    Article  Google Scholar 

  29. J. Wang, P. Liu, Y. Gao, P. Deshmukh, S. Yang, Y. Chen, W. Sy, L. Ge, E. Terzioglu, M. Abu-Rahma, M. Garg, S. S. Yoon, M. Han, M. Sani, G. Yeap, Non-Gaussian distribution of SRAM read current and design impact to low power memory using voltage acceleration method, in Symposium on VLSI Technology (VLSIT), June 2011, pp. 220–221

    Google Scholar 

  30. P. Kinget, Device mismatch and tradeoffs in the design of analog circuits. IEEE J. Solid-State Circuits 40(6), 1212–1224 (2005)

    Article  Google Scholar 

  31. B. Wicht, T. Nirschl, D. Schmitt-Landsiedel, Yield and speed optimization of a latch-type voltage sense amplifier. IEEE J. Solid-State Circuits 39(7), 1148–1158 (2004)

    Article  Google Scholar 

  32. S. Mukhopadhyay, K. Kim, K. Jenkins, C.-T. Chuang, K. Roy, Statistical characterization and on-chip measurement methods for local random variability of a process using sense-amplifier-based test structure. in Proceedings of the International Solid-State Circuits Conference (ISSCC), Feb 2007, pp. 400–611

    Google Scholar 

  33. A. Papoulis, Probability, Random Variables, and Stochastic Processes, 3rd edn. (McGraw-Hill, 1991)

    Google Scholar 

  34. R. Rajsuman, Design and test of large embedded memories: an overview. IEEE Des. Test Comput. 18(3), 16–27 (2001)

    Article  Google Scholar 

  35. W. Kever, S. Ziai, M. Hill, D. Weiss, B. Stackhouse, A 200 MHz RISC microprocessor with 128 kB on-chip caches. in Proceedings of the International Solid-State Circuits Conference (ISSCC), 6–8 Feb 1997, pp. 410–411,495

    Google Scholar 

  36. Y. H. Chan, T. J. Charest, J. R. Rawlins, A. D. Tuminaro, J. K. Wadhwa, O. M. Wagner, Programmable sense amplifier timing generator. U. S. Patent 6,958,943, Oct 2005

    Google Scholar 

  37. A. Chandrakasan, W.J. Bowhill, F. Fox, Design of High-Performance Microprocessor Circuits (Wiley-IEEE Press, 2000)

    Google Scholar 

  38. M. Min, P. Maurine, M. Bastian, M. Robert, A novel dummy bitline driver for read margin improvement in an eSRAM. in Proceedings of the IEEE International Symposium on Electronic Design, Test and Applications DELTA, Jan 2008, pp. 107–110

    Google Scholar 

  39. R. Joshi, R. Houle, D. Rodko, P. Patel, W. Huott, R. Franch, Y. Chan, D. Plass, S. Wilson, S. Wu, R. Kanj, A high performance 2.4 Mb L1 and L2 cache compatible 45nm SRAM with yield improvement capabilities. in Proceedings of IEEE Symposium on VLSI Circuits, June 2008, pp. 208–209

    Google Scholar 

  40. J. M. Rabaey, A. Chandrakasan, B. Nikolic, Digital Integrated Circuits, 2nd Edn. (Prentice Hall, 2002)

    Google Scholar 

  41. H. Yamauchi, Embedded SRAM circuit design technologies for a 45 nm and beyond. in 7th International Conference on ASIC (ASICON ’07), 22–25 Oct 2007, pp. 1028–1033

    Google Scholar 

  42. K. Kang, S.P. Park, K. Roy, M.A. Alam, Estimation of statistical variation in temporal NBTI degradation and its impact on lifetime circuit performance. in Proceedings of the IEEE/ACM international Conference on Computer-aided design (ICCAD ’07), 2007, 730–734

    Google Scholar 

  43. X. Li, J. Qin, B. Huang, X. Zhang, J. Bernstein, SRAM circuit-failure modeling and reliability simulation with SPICE. IEEE Trans. Device Mater. Reliab. 6(2), 235–246 (2006)

    Google Scholar 

  44. M. Khellah, Y. Ye, N. Kim, D. Somasekhar, G. Pandya, A. Farhang, K. Zhang, C. Webb, V. De, Wordline and bitline pulsing schemes for improving SRAM cell stability in low Vcc 65 nm CMOS designs, in Proceedings of IEEE Symposium on VLSI Circuits, 2006, pp. 9–10

    Google Scholar 

  45. S. Ikeda, Y. Yoshida, K. Ishibashi, Y. Mitsui, Failure analysis of 6 T SRAM on low-voltage and high-frequency operation. IEEE Trans. Electron Devices 50, 1270–1276 (2003)

    Article  Google Scholar 

  46. J. B. Khare, A. B. Shah, A. Raman, G. Rayas, Embedded memory field returns—trials and tribulations. in IEEE International Test Conference (ITC ’06), Oct 2006, pp. 1–6

    Google Scholar 

  47. M. Yamaoka, K. Osada, T. Kawahara, A cell-activation-time controlled SRAM for low-voltage operation in DVFS SoCs using dynamic stability analysis. in 34th European Solid State Circuits Conference (ESSCIRC), Sept 2008, pp. 286–289

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Mohamed H. Abu-Rahma .

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Abu-Rahma, M.H., Anis, M. (2013). Reducing SRAM Power Using Fine-Grained Wordline Pulse Width Control. In: Nanometer Variation-Tolerant SRAM. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-1749-1_4

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-1749-1_4

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-1748-4

  • Online ISBN: 978-1-4614-1749-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics