Skip to main content

Introduction

  • Chapter
  • First Online:
Nanometer Variation-Tolerant SRAM
  • 1498 Accesses

Abstract

In this chapter, we give a short introduction on the importance of variation-tolerant SRAM design for the nanometer regime.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. T.-C. Chen, Where is CMOS going: trendy hype versus real technology, in Proceedings of the International Solid-State Circuits Conference ISSCC, 2006, pp. 22–28

    Google Scholar 

  2. S.R. Nassif, Modeling and analysis of manufacturing variations, in Proceedings of IEEE Custom Integrated Circuits Conference, 2001, pp. 223–228

    Google Scholar 

  3. H. Masuda, S. Ohkawa, A. Kurokawa, M. Aoki, Challenge: variability characterization and modeling for 65- to 90-nm processes, in Proceedings of IEEE Custom Integrated Circuits Conference, 2005, pp. 593–599

    Google Scholar 

  4. B. Wong, A. Mittal, Y. Cao, G.W. Starr, Nano-CMOS Circuit and Physical Design (Wiley-Interscience, New York, 2004)

    Book  Google Scholar 

  5. The International Technology Roadmap for Semiconductors (ITRS), http://public.itrs.net

  6. J. Tschanz, K. Bowman, V. De, Variation-tolerant circuits: circuit solutions and techniques, in DAC ’05: Proceedings of the 42nd Annual Conference on Design Automation, 2005, pp. 762–763

    Google Scholar 

  7. D. Frank, R. Dennard, E. Nowak, P. Solomon, Y. Taur, H.S. Wong, Device scaling limits of Si MOSFETs and their application dependencies. Proc. IEEE 89(3), 259–288 (2001)

    Article  Google Scholar 

  8. J.A. Croon, W. Sansen, H.E. Maes, Matching Properties of Deep Sub-Micron MOS Transistors (Springer, New York, 2005)

    Google Scholar 

  9. K. Agarwal, S. Nassif, Statistical analysis of SRAM cell stability, in DAC ’06: Proceedings of the 43rd Annual Conference on Design Automation, 2006, pp. 57–62

    Google Scholar 

  10. S. Mukhopadhyay, H. Mahmoodi, K. Roy, Statistical design and optimization of SRAM cell for yield enhancement, in Proceedings of International Conference on, Computer Aided Design, 2004, pp. 10–13

    Google Scholar 

  11. R. Heald, P. Wang, Variability in sub-100nm SRAM designs, in Proceedings of International Conference on, Computer Aided Design, 2004, pp. 347–352

    Google Scholar 

  12. Y. Zorian, Embedded memory test and repair: infrastructure IP for SOC yield, in Proceedings the International Test Conference (ITC), 2002, pp. 340–349

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Mohamed H. Abu-Rahma .

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Abu-Rahma, M.H., Anis, M. (2013). Introduction. In: Nanometer Variation-Tolerant SRAM. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-1749-1_1

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-1749-1_1

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-1748-4

  • Online ISBN: 978-1-4614-1749-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics