Skip to main content

Single-Ended SRAM Bitcell Design

  • Chapter
  • First Online:
Robust SRAM Designs and Analysis

Abstract

In this chapter, a case study of six-transistor (6T) Single-Ended Static Random Access Memory (SE-SRAM) bitcell with an isolated read-current path and its word-oriented array organization, suitable for low-V DD and low-power embedded systems is presented. The SE-SRAM has a strong 2. 65 × worst-case read Static Noise Margin (SNM) compared to a standard 6T bitcell and equivalent to an 8T bitcell from existing literature. The previously proposed single-ended bitcell topologies and their advantages and disadvantages are also highlighted with respect SE 6T SRAM bitcell. The SE 6T bitcell and its word-orientation, and how it departs from the existing topologies are discussed in detail. A comparison of noise margins, power and performance with standard 6T and 8T SRAM bitcells is also presented.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 159.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Agarwal, A., Li, H., Roy, K.: A single-vt low-leakage gated-ground cache for deep submicron. IEEE J. Solid-State Circuit 38(2), 319–328 (2003)

    Article  Google Scholar 

  2. Aly, R., Bayoumi, M.: Low-power cache design using 7T SRAM cell. IEEE Trans. Circuit Syst. II. Express Briefs 54(4), 318–322 (2007)

    Article  Google Scholar 

  3. Anis, M., Areibi, S., Elmasry, M.: Design and optimization of multithreshold CMOS (MTCMOS) circuits. IEEE Trans. Comput. Aided Des. Integr. Circuit Syst. 22(10), 1324–1342 (2003)

    Article  Google Scholar 

  4. Bhavnagarwala, A., Kosonocky, S., Kowalczyk, S., Joshi, R., Chan, Y., Srinivasan, U., Wadhwa, J.: A transregional CMOS SRAM with single, logic vdd and dynamic power rails. In: Symposium on VLSI Circuits, 2004. Digest of Technical Papers, Honolulu, pp. 292–293 (2004)

    Google Scholar 

  5. Calhoun, B.H., Chandrakasan, A.P.: A 256-kb 65-nm sub-threshold SRAM design for ultralow-voltage operation. IEEE J. Solid-State Circuit 42(3), 680–688 (2007)

    Article  Google Scholar 

  6. Chang, L., Fried, D., Hergenrother, J., Sleight, J., Dennard, R., Montoye, R., Sekaric, L., McNab, S., Topol, A., Adams, C., Guarini, K., Haensch, W.: Stable SRAM cell design for the 32 nm node and beyond. In: Symposium on VLSI Technology, 2005. Digest of Technical Papers, Kyoto, pp. 128–129. 14–16 June 2005

    Google Scholar 

  7. Chang, L., Nakamura, Y., Montoye, R., Sawada, J., Martin, A., Kinoshita, K., Gebara, F., Agarwal, K., Acharyya, D., Haensch, W., Hosokawa, K., Jamsek, D.: A 5.3 ghz 8T-SRAM with operation down to 0.41 v in 65 nm CMOS. In: IEEE Symposium on VLSI Circuits, 2007, Kyoto, pp. 252–253 (2007)

    Google Scholar 

  8. Chang, L., Montoye, R., Nakamura, Y., Batson, K., Eickemeyer, R., Dennard, R., Haensch, W., Jamsek, D.: An 8T-SRAM for variability tolerance and low-voltage operation in high-performance caches. IEEE J. Solid-State Circuit 43(4), 956–963 (2008)

    Article  Google Scholar 

  9. Enomoto, T., Oka, Y., Shikano, H.: A self-controllable voltage level (svl) circuit and its low-power high-speed cmos circuit applications. IEEE J. Solid-State Circuit 38(7), 1220–1226 (2003)

    Article  Google Scholar 

  10. Jiajing W., Nalam, S., Calhoun, B.H.: Analyzing static and dynamic write margin for nanometer SRAMs, ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), 129–134 (2008) doi: 10.1145/1393921.1393954. http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=5529055&isnumber=5529013

  11. Hobson, R.: A new single-ended SRAM cell with write-assist. IEEE Trans. Very Large Scale Integr. Syst. 15(2), 173–181 (2007)

    Article  Google Scholar 

  12. Itoh, K., Sasaki, K., Nakagome, Y.: Trends in low-power ram circuit technologies. Proc. IEEE 83(4), 524–543 (1995). doi:10.1109/5.371965

    Article  Google Scholar 

  13. Kao, J., Chandrakasan, A., Antoniadis, D.: Transistor sizing issues and tool for multi-threshold CMOS technology. In: Proceedings of the 34th Design Automation Conference, 1997, Anaheim, pp. 409–414 (1997)

    Google Scholar 

  14. Kao, J., Narendra, S., Chandrakasan, A.: MTCMOS hierarchical sizing based on mutual exclusive discharge patterns. In: DAC ’98: Proceedings of the 35th Annual Conference on Design Automation, San Francico, pp. 495–500 (1998)

    Google Scholar 

  15. Kim, N.S., Flautner, K., Blaauw, D., Mudge, T.: Circuit and microarchitectural techniques for reducing cache leakage power. IEEE Trans. Very Large Scale Integr. Syst. 12(2), 167–184 (2004)

    Article  Google Scholar 

  16. Kiyoo, I., Katsuro, S., Yoshinobu, N.: Trends in low-power ram circuit technologies. Proc. IEEE 83, 524–543 (1995)

    Article  Google Scholar 

  17. Liu, Z., Kursun, V.: Characterization of a novel nine-transistor SRAM cell. IEEE Trans. Very Large Scale Integr. Syst. 16(4), 488–492 (2008)

    Article  Google Scholar 

  18. Ohbayashi, S., Yabuuchi, M., Nii, K., Tsukamoto, Y., Imaoka, S., Oda, Y., Yoshihara, T., Igarashi, M., Takeuchi, M., Kawashima, H., Yamaguchi, Y., Tsukamoto, K., Inuishi, M., Makino, H., Ishibashi, K., Shinohara, H.: A 65-nm soc embedded 6T-SRAM designed for manufacturability with read and write operation stabilizing circuits. IEEE J. Solid-State Circuit 42(4), 820–829 (2007)

    Article  Google Scholar 

  19. Ohbayashi, S., Yabuuchi, M., Kono, K., Oda, Y., Imaoka, S., Usui, K., Yonezu, T., Iwamoto, T., Nii, K., Tsukamoto, Y., Arakawa, M., Uchida, T., Okada, M., Ishii, A., Yoshihara, T., Makino, H., Ishibashi, K., Shinohara, H.: A 65 nm embedded SRAM with wafer level burn-in mode, leak-bit redundancy and cu e-trim fuse for known good die. IEEE J. Solid-State Circuit 43(1), 96–108 (2008)

    Article  Google Scholar 

  20. PTM: Predictive technology model. In: Nanoscale Integration and Modeling (NIMO) Group. Arizona State University, Arizona. http://www.eas.asu.edu/ptm/ (2008)

  21. Singh, J., Pradhan, D.K., Hollis, S., Mohanty, S.P., Mathew, J.: Single ended 6T SRAM with isolated read-port for low-power embedded systems. In: Design, Automation and Test in Europe Conference and Exhibition, 2009. DATE ’09 (2009) http://ieeexplore.ieee.org/xpls/abs_all.jsp?arnumber=5090796&tag=1

  22. Takeda, K., Hagihara, Y., Aimoto, Y., Nomura, M., Nakazawa, Y., Ishii, T., Kobatake, H.: A read-static-noise-margin-free SRAM cell for low-vdd and high-speed applications. IEEE J. Solid-State Circuit 41(1), 113–121 (2006)

    Article  Google Scholar 

  23. Verma, N., Chandrakasan, A.P.: A 256 kb 65 nm 8T subthreshold SRAM employing sense-amplifier redundancy. IEEE J. Solid-State Circuit 43(1), 141–149 (2008)

    Article  Google Scholar 

  24. Villa, L., Zhang, M., Asanovic, K.: Dynamic zero compression for cache energy reduction. In: International Symposium on Microarchitecture, Monterey, pp. 214–220 (2000)

    Google Scholar 

  25. Wang, A., Chandrakasan, A.: A 180 mv FFT processor using sub-threshold circuit techniques. In: Proceedings of the IEEE ISSCC Dig. Tech. Papers, pp. 229–293 (2004). http://ieeexplore.ieee.org/xpls/abs_all.jsp?arnumber=1332709

  26. Wang, A., Chandrakasan, A.: A 180-mv subthreshold FFT processor using a minimum energy design methodology. IEEE J. Solid-State Circuit 40(1), 310–319 (2005)

    Article  Google Scholar 

  27. Wang, C.C., Wu, C.F., Hwang, R.T., Kao, C.H.: Single-ended SRAM with high test coverage and short test time. IEEE J. Solid-State Circuit 35(1), 114–118 (2000)

    Article  Google Scholar 

  28. Yoshimoto, M., Anami, K., Shinohara, H., Yoshihara, T., Takagi, H., Nagao, S., Kayano, S., Nakano, T.: A divided word-line structure in the static ram and its application to a 64k full CMOS ram. IEEE J. Solid-State Circuit 18(5), 479–485 (1983)

    Article  Google Scholar 

  29. Zhai, B., Hanson, S., Blaauw, D., Sylvester, D.: A variation-tolerant sub-200 mv 6-T subthreshold SRAM. IEEE J. Solid-State Circuit 43(10), 2338–2348 (2008)

    Article  Google Scholar 

  30. Zhang, K., Hose, K., De, V., Senyk, B.: The scaling of data sensing schemes for high speed cache design in sub-0.18 m technologies. In: Symposium on VLSI Circuits, 2000, Digest of Technical Papers, Honolulu, pp. 226–227 (2000)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Singh, J., Mohanty, S.P., Pradhan, D.K. (2013). Single-Ended SRAM Bitcell Design. In: Robust SRAM Designs and Analysis. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-0818-5_3

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-0818-5_3

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-0817-8

  • Online ISBN: 978-1-4614-0818-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics