Skip to main content

Design Metrics of SRAM Bitcell

  • Chapter
  • First Online:
Robust SRAM Designs and Analysis

Abstract

This chapter presents the basics of standard 6T SRAM bitcell and simulation setups for measurement of bitcell read and write stability metrics. Different static and dynamic stability metrics are investigated. Static stability metrics includes conventional butterfly curves obtained from the voltage transfer characteristics, the N-curve based metrics and their simulation setup for read and write stability are also discussed. The static stability metrics for large scale dense cache SRAM measured from bitline, wordline and bitcell supply voltage are also presented in this chapter. Apart from static stability metrics commonly used in SRAM design and development, dynamic stability metrics are also presented along with their simulations setups. Detailed simulation results and illustrations for static and dynamic stability metrics are the main focus of this chapter.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 159.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Agarwal, K., Nassif, S.: Statistical analysis of SRAM cell stability. In: DAC ’06: Proceedings of the 43rd Annual Conference on Design Automation, San Francisco, pp. 57–62. ACM Press, New York (2006)

    Google Scholar 

  2. Bhavnagarwala, A.J., Tang, X., Meindl, J.D.: The impact of intrinsic device fluctuations on CMOS SRAM cell stability. IEEE J. Solid-State Circuit 36, 658–665 (2001)

    Article  Google Scholar 

  3. Bhavnagarwala, A., Kosonocky, S., Radens, C., Stawiasz, K., Mann, R., Ye, Q., Chin, K.: Fluctuation limits amp; scaling opportunities for CMOS SRAM cells. In: IEEE International Electron Devices Meeting, 2005. IEDM Technical Digest, Washington, pp. 659–662 (2005)

    Google Scholar 

  4. Bhavnagarwala, A., Kosonocky, S., Radens, C., Chan, Y., Stawiasz, K., Srinivasan, U., Kowalczyk, S., Ziegler, M.: A sub-600-mv, fluctuation tolerant 65-nm CMOS SRAM array with dynamic cell biasing. IEEE J. Solid-State Circuit 43(4), 946–955 (2008). doi:10.1109/JSSC.2008.917506

    Article  Google Scholar 

  5. Calhoun, B., Chandrakasan, A.: Static noise margin variation for sub-threshold SRAM in 65-nm CMOS. IEEE J. Solid-State Circuit 41(7), 1673–1679 (2006). doi:10.1109/JSSC.2006.873215

    Article  Google Scholar 

  6. Fischer, T., Amirante, E., Huber, P., Nirschl, T., Olbrich, A., Ostermayr, M., Schmitt-Landsiedel, D.: Analysis of read current and write trip voltage variability from a 1-MB SRAM test structure. IEEE Trans. Semicond. Manuf. 21(4), 534–541 (2008). doi:10.1109/TSM.2008.2004329

    Article  Google Scholar 

  7. Gierczynski, N., Borot, B., Planes, N., Brut, H.: A new combined methodology for write-margin extraction of advanced SRAM. In: IEEE International Conference on Microelectronic Test Structures 2007, ICMTS ’07, pp. 97–100 (2007). doi:10.1109/ICMTS.2007.374463

  8. Jiajing W., Nalam, S., Calhoun, B.H.: Analyzing static and dynamic write margin for nanometer SRAMs, ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), 129–134 (2008) doi: 10.1145/1393921.1393954. http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=5529055&isnumber=5529013

  9. Guo, Z., Carlson, A., Pang, L.T., Duong, K., Liu, T.J.K., Nikolic, B.: Large-scale read/write margin measurement in 45 nm CMOS SRAM arrays. In: IEEE Symposium on VLSI Circuits, 2008, pp. 42–43 (2008). doi:10.1109/VLSIC.2008.4585944

    MATH  Google Scholar 

  10. Guo, Z., Carlson, A., Pang, L.T., Duong, K., Liu, T.J.K., Nikolic, B.: Large-scale SRAM variability characterization in 45 nm CMOS. IEEE J. Solid-State Circuit 44(11), 3174–3192 (2009). doi:10.1109/JSSC.2009.2032698

    Article  Google Scholar 

  11. Heald, R., Wang, P.: Variability in sub-100 nm SRAM designs. In: International Conference on Computer Aided Design, 2004. ICCAD-2004, San Jose, pp. 347–352 (2004)

    Google Scholar 

  12. Heald, R., Wang, P.: Variability in sub-100 nm SRAM designs. In: IEEE/ACM International Conference on Computer Aided Design, San Jose, pp. 347–352 (2004)

    Google Scholar 

  13. Khalil, D., Khellah, M., Kim, N.S., Ismail, Y., Karnik, T., De, V.: Accurate estimation of SRAM dynamic stability. IEEE Trans. Very Large Scale Integr. Syst. 16(12), 1639–1647 (2008). doi:10.1109/TVLSI.2008.2001941

    Article  Google Scholar 

  14. Mukhopadhyay, S., Mahmoodi, H., Roy, K.: Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS. IEEE Trans. Comput. Aided Des. Integr. Circuit Syst. 24(12), 1859–1880 (2005). doi:10.1109/TCAD.2005.852295

    Article  Google Scholar 

  15. Seevinck, E., List, F., Lohstroh, J.: Static-noise margin analysis of MOS SRAM cells. J. Solid-State Circuit 25(2), 784–754 (1987)

    Google Scholar 

  16. Seevinck, E., List, F., Lohstroh, J.: Static-noise margin analysis of MOS SRAM cells. IEEE J. Solid-State Circuit 22(5), 748–754 (1987)

    Article  Google Scholar 

  17. Singh, J., Mathew, J., Pradhan, D., Mohanty, S.: Failure analysis for ultra low power NANO-CMOS SRAM under process variations. In: IEEE International SoC Conference, 2008, pp. 251–254 (2008). doi:10.1109/SOCC.2008.4641522

    Article  Google Scholar 

  18. Takeda, K., Hagihara, Y., Aimoto, Y., Nomura, M., Nakazawa, Y., Ishii, T., Kobatake, H.: A read-static-noise-margin-free SRAM cell for low-vdd and high-speed applications. IEEE J. Solid-State Circuit 41(1), 113–121 (2006)

    Article  Google Scholar 

  19. Toh, S.O., Guo, Z., Liu, T.J.K., Nikolic, B.: Characterization of dynamic SRAM stability in 45 nm CMOS. IEEE J. Solid-State Circuit 46(11), 2702–2712 (2011). doi:10.1109/JSSC.2011.2164300

    Article  Google Scholar 

  20. Wann, C., Wong, R., Frank, D., Mann, R., Ko, S.B., Croce, P., Lea, D., Hoyniak, D., Lee, Y.M., Toomey, J., Weybright, M., Sudijono, J.: SRAM cell design for stability methodology. In: IEEE VLSI-TSA International Symposium on VLSI Technology, 2005. (VLSI-TSA-Tech), pp. 21–22. 25–27 April 2005. http://ieeexplore.ieee.org/stamp/stamp.jsp?arnumber=01497065

  21. Wong, V., Lock, C., Siek, K., Tan, P.: Electrical analysis to fault isolate defect in 6T memory cells. In: IEEE IPFA, pp. 101–104 (2002). http://ieeexplore.ieee.org/xpls/abs_all.jsp?arnumber=1025622

  22. Yamaoka, M., Osada, K., Kawahara, T.: A cell-activation-time controlled SRAM for low-voltage operation in DVFS SoCs using dynamic stability analysis. In: 34th EuropeanSolid-State Circuits Conference, 2008. ESSCIRC 2008, pp. 286–289 (2008). doi:10.1109/ESSCIRC.2008.4681848

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Singh, J., Mohanty, S.P., Pradhan, D.K. (2013). Design Metrics of SRAM Bitcell. In: Robust SRAM Designs and Analysis. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-0818-5_2

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-0818-5_2

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-0817-8

  • Online ISBN: 978-1-4614-0818-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics