Skip to main content

Statistical Power Grid Analysis by Stochastic Extended Krylov Subspace Method

  • Chapter
  • First Online:
Statistical Performance Analysis and Modeling Techniques for Nanometer VLSI Designs

Abstract

In this chapter, we present a stochastic method for analyzing the voltage drop variations of on-chip power grid networks with log-normal leakage current variations, which is called StoEKS and which still applies the spectral-stochastic-method to solve for the variational responses. But different from the existing spectral-stochastic-based simulation method, the EKS method [191, 177] is employed to compute variational responses using the augmented matrices consisting of the coefficients of Hermite polynomials. Our work is inspired by recent spectral-stochastic-based model order reduction method 2[214]. We apply this work to the variational analysis of on-chip power grid networks considering the variational leakage currents with the log-normal distribution.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 129.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Y. Cao, Y. Lee, T. Chen, and C. C. Chen, “HiPRIME: hierarchical and passivity reserved interconnect macromodeling engine for RLKC power delivery,” in Proc. Design Automation Conf. (DAC), 2002, pp. 379–384.

    Google Scholar 

  2. C. Chiang and J. Kawa, Design for Manufacturability. Springer, 2007.

    Google Scholar 

  3. P. Ghanta, S. Vrudhula, R. Panda, and J. Wang, “Stochastic power grid analysis considering process variations,” in Proc. Design, Automation and Test In Europe. (DATE), vol. 2, 2005, pp. 964–969.

    Google Scholar 

  4. Y. S. Kumar, J. Li, C. Talarico, and J. Wang, “A probabilistic collocation method based statistical gate delay model considering process variations and multiple input switching,” in Proc. Design, Automation and Test In Europe. (DATE), 2005, pp. 770–775.

    Google Scholar 

  5. Y. Lee, Y. Cao, T. Chen, J. Wang, and C. Chen, “HiPRIME: Hierarchical and passivity preserved interconnect macromodeling engine for RLKC power delivery,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 24, no. 6, pp. 797–806, 2005.

    Article  Google Scholar 

  6. D. Li, S. X.-D. Tan, and B. McGaughy, “ETBR: Extended truncated balanced realization method for on-chip power grid network analysis,” in Proc. Design, Automation and Test In Europe. (DATE), 2008, pp. 432–437.

    Google Scholar 

  7. N. Mi, J. Fan, and S. X.-D. Tan, “Simulation of power grid networks considering wires and lognormal leakage current variations,” in Proc. IEEE International Workshop on Behavioral Modeling and Simulation (BMAS), Sept. 2006, pp. 73–78.

    Google Scholar 

  8. N. Mi, J. Fan, and S. X.-D. Tan, “Statistical analysis of power grid networks considering lognormal leakage current variations with spatial correlation,” in Proc. IEEE Int. Conf. on Computer Design (ICCD), 2006, pp. 56–62.

    Google Scholar 

  9. N. Mi, S. X.-D. Tan, Y. Cai, and X. Hong, “Fast variational analysis of on-chip power grids by stochastic extended krylov subspace method,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 27, no. 11, pp. 1996–2006, 2008.

    Article  Google Scholar 

  10. N. Mi, S. X.-D. Tan, P. Liu, J. Cui, Y. Cai, and X. Hong, “Stochastic extended Krylov subspace method for variational analysis of on-chip power grid networks,” in Proc. Int. Conf. on Computer Aided Design (ICCAD), 2007, pp. 48–53.

    Google Scholar 

  11. L. T. Pillage and R. A. Rohrer, “Asymptotic waveform evaluation for timing analysis,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, pp. 352–366, April 1990.

    Google Scholar 

  12. S. X.-D. Tan and L. He, Advanced Model Order Reduction Techniques in VLSI Design. Cambridge University Press, 2007.

    Google Scholar 

  13. “Umfpack,” http://www.cise.ufl.edu/research/sparse/umfpack/.

  14. J. M. Wang and T. V. Nguyen, “Extended Krylov subspace method for reduced order analysis of linear circuit with multiple sources,” in Proc. IEEE/ACM Design Automation Conference (DAC), 2000, pp. 247–252.

    Google Scholar 

  15. Y. Zou, Y. Cai, Q. Zhou, X. Hong, S. X.-D. Tan, and L. Kang, “Practical implementation of stochastic parameterized model order reduction via hermite polynomial chaos,” in Proc. Asia South Pacific Design Automation Conf. (ASPDAC), Jan 2007, pp. 367–372.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2012 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Shen, R., Tan, S.XD., Yu, H. (2012). Statistical Power Grid Analysis by Stochastic Extended Krylov Subspace Method. In: Statistical Performance Analysis and Modeling Techniques for Nanometer VLSI Designs. Springer, Boston, MA. https://doi.org/10.1007/978-1-4614-0788-1_9

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-0788-1_9

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4614-0787-4

  • Online ISBN: 978-1-4614-0788-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics