Skip to main content

Abstract

It is well accepted that the process-induced variability has huge impacts on the circuit performance in the sub-90 nm VLSI technologies. The variational consideration of process has to be assessed in various VLSI design steps to ensure robust circuit design. Process variations consist of both inter-die ones, which affect all the devices on the same chip in the same way, and intra-die ones, which represent variations of parameters within the same chip. These include spatially correlated variations and purely independent or uncorrelated variations. Spatial correlation describes the phenomenon that devices close to each other are more likely to have similar characteristics than when they are far apart. It was shown that variations in the practical chips in nanometer range are spatially correlated [195]. Simple assumption of independence for involved random variables can lead to significant errors.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 129.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. J. D. Alexander and V. D. Agrawal, “Algorithms for estimating number of glitches and dynamic power in CMOS circuits with delay variations,” in IEEE Computer Society Annual Symposium on VLSI, May 2009, pp. 127–132.

    Google Scholar 

  2. R. Burch, F. Najm, P. Yang, and T. Trick, “A Monte Carlo approach for power estimation,” IEEE Trans. on Very Large Scale Integration (VLSI) Systems, vol. 1, no. 1, pp. 63–71, Mar 1993.

    Google Scholar 

  3. H. Chang and S. S. Sapatnekar, “Full-chip analysis of leakage power under process variations, including spatial correlations,” in Proc. IEEE/ACM Design Automation Conference (DAC), 2005, pp. 523–528.

    Google Scholar 

  4. T.-L. Chou and K. Roy, “Power estimation under uncertain delays,” Integr. Comput.-Aided Eng., vol. 5, no. 2, pp. 107–116, Apr 1998.

    Google Scholar 

  5. C. Ding, C. Tsui, and M. Pedram, “Gate-level power estimation using tagged probabilistic simulation,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 17, no. 11, pp. 1099–1107, Nov 1998.

    Article  Google Scholar 

  6. Q. Dinh, D. Chen, and M. D. Wong, “Dynamic power estimation for deep submicron circuits with process variation,” in Proc. Asia South Pacific Design Automation Conf. (ASPDAC), Jan 2010, pp. 587–592.

    Google Scholar 

  7. A. Ghosh, S. Devadas, K. Keutzer, and J. White, “Estimation of average switching activity in combinational and sequential circuits,” in Proc. IEEE/ACM Design Automation Conference (DAC), June 1992, pp. 253–259.

    Google Scholar 

  8. Z. Hao, R. Shen, S. X.-D. Tan, B. Liu, G. Shi, and Y. Cai, “Statistical full-chip dynamic power estimation considering spatial correlations,” in Proc. Int. Symposium. on Quality Electronic Design (ISQED), March 2011, pp. 677–782.

    Google Scholar 

  9. B. P. Harish, N. Bhat, and M. B. Patil, “Process variability-aware statistical hybrid modeling of dynamic power dissipation in 65 nm CMOS designs,” in Proc. Int. Conf. on Computing: Theory and Applications (ICCTA), Mar 2007, pp. 94–98.

    Google Scholar 

  10. K. R. Heloue, N. Azizi, and F. N. Najm, “Modeling and estimation of full-chip leakage current considering within-die correlation,” in Proc. IEEE/ACM Design Automation Conference (DAC), 2007, pp. 93–98.

    Google Scholar 

  11. F. Hu and V. D. Agrawal, “Enhanced dual-transition probabilistic power estimation with selective supergate analysis,” in Proc. IEEE Int. Conf. on Computer Design (ICCD), Oct 2005, pp. 366–372.

    Google Scholar 

  12. F. Najm, “Transition density: a new measure of activity in digital circuits,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 12, no. 2, pp. 310–323, Feb 1993.

    Article  Google Scholar 

  13. F. Najm, R. Burch, P. Yang, and I. Hajj, “Probabilistic simulation for reliability analysis of CMOS VLSI circuits,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 9, no. 4, pp. 439–450, Apr 1990.

    Article  Google Scholar 

  14. S. Pilli and S. Sapatnekar, “Power estimation considering statistical ic parametric variations,” in Proc. IEEE Int. Symp. on Circuits and Systems (ISCAS), vol. 3, June 1997, pp. 1524–1527.

    Google Scholar 

  15. J. Roy, S. Adya, D. Papa, and I. Markov, “Min-cut floorplacement,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 25, no. 7, pp. 1313–1326, July 2006.

    Article  Google Scholar 

  16. R. Shen, N. Mi, S. X.-D. Tan, Y. Cai, and X. Hong, “Statistical modeling and analysis of chip-level leakage power by spectral stochastic method,” in Proc. Asia South Pacific Design Automation Conf. (ASPDAC), Jan 2009, pp. 161–166.

    Google Scholar 

  17. C.-Y. Tsui, M. Pedram, and A. Despain, “Efficient estimation of dynamic power consumption under a real delay model,” in Proc. Int. Conf. on Computer Aided Design (ICCAD), Nov 1993, pp. 224–228.

    Google Scholar 

  18. J. Xiong, V. Zolotov, and L. He, “Robust extraction of spatial correlation,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 26, no. 4, 2007.

    Google Scholar 

  19. Z. Ye and Z. Yu, “An efficient algorithm for modeling spatially-correlated process variation in statistical full-chip leakage analysis,” in Proc. Int. Conf. on Computer Aided Design (ICCAD), Nov 2009, pp. 295–301.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2012 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Shen, R., Tan, S.XD., Yu, H. (2012). Statistical Dynamic Power Estimation Techniques. In: Statistical Performance Analysis and Modeling Techniques for Nanometer VLSI Designs. Springer, Boston, MA. https://doi.org/10.1007/978-1-4614-0788-1_6

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-0788-1_6

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4614-0787-4

  • Online ISBN: 978-1-4614-0788-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics