Skip to main content

Abstract

Process-induced variability has huge impact on the circuit performance in the sub-90 nm VLSI technologies [120]. This is the particular case for leakage power, which has increased dramatically with the technology scaling and is becoming the dominant chip power dissipation [71].

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 129.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. A. Abu-Dayya and N. Beaulieu, “Comparison of methods of computing correlated lognormal sum distributions and outages for digital wireless applications,” in Proc. IEEE Vehicular Technology Conference, vol. 1, June 1994, pp. 175–179.

    Google Scholar 

  2. S. Bhardwaj, S. Vrudhula, and A. Goel, “A unified approach for full chip statistical timing and leakage analysis of nanoscale circuits considering intradie process variations,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 27, no. 10, pp. 1812–1825, Oct 2008.

    Article  Google Scholar 

  3. S. Borkar, T. Karnik, and V. De, “Design and reliability challenges in nanometer technologies,” in Proc. Design Automation Conf. (DAC). IEEE Press, 2004, pp. 75–75.

    Google Scholar 

  4. H. Chang and S. S. Sapatnekar, “Full-chip analysis of leakage power under process variations, including spatial correlations,” in Proc. IEEE/ACM Design Automation Conference (DAC), 2005, pp. 523–528.

    Google Scholar 

  5. H. Chen, S. Neely, J. Xiong, V. Zolotov, and C. Visweswariah, “Statistical modeling and analysis of static leakage and dynamic switching power,” in Power and Timing Modeling, Optimization and Simulation: 18th International Workshop, (PATMOS), Sep 2008, pp. 178–187.

    Google Scholar 

  6. V. De and S. Borkar, “Technology and design challenges for low power and high performance,” in Proc. Int. Symp. on Low Power Electronics and Design (ISLPED), Aug 1999, pp. 163–168.

    Google Scholar 

  7. S. G. Duvall, “Statistical circuit modeling and optimization,” in Intl. Workshop Statistical Metrology, Jun 2000, pp. 56–63.

    Google Scholar 

  8. P. Friedberg, Y. Cao, J. Cain, R. Wang, J. Rabaey, and C. Spanos, “Modeling within-die spatial correlation effects for process design co-optimization,” in Proceedings of the 6th International Symposium on Quality of Electronic Design, 2005, pp. 516–521.

    Google Scholar 

  9. K. R. Heloue, N. Azizi, and F. N. Najm, “Modeling and estimation of full-chip leakage current considering within-die correlation,” in Proc. IEEE/ACM Design Automation Conference (DAC), 2007, pp. 93–98.

    Google Scholar 

  10. “International technology roadmap for semiconductors (ITRS), 2010 update,” 2010, http://public.itrs.net.

  11. P. Li and W. Shi, “Model order reduction of linear networks with massive ports via frequency-dependent port packing,” in Proc. Design Automation Conf. (DAC), 2006, pp. 267–272.

    Google Scholar 

  12. T. Li, W. Zhang, and Z. Yu, “Full-chip leakage analysis in nano-scale technologies: Mechanisms, variation sources, and verification,” in Proc. Design Automation Conf. (DAC), June 2008, pp. 594–599.

    Google Scholar 

  13. X. Li, J. Le, L. Pileggi, and A. Strojwas, “Projection-based performance modeling for inter/intra-die variations,” in Proc. Int. Conf. on Computer Aided Design (ICCAD), 2005, pp. 721–727.

    Google Scholar 

  14. X. Li, J. Le, and L. T. Pileggi, “Projection-based statistical analysis of full-chip leakage power with non-log-normal distributions,” in Proc. IEEE/ACM Design Automation Conference (DAC), July 2006, pp. 103–108.

    Google Scholar 

  15. S. Mukhopadhyay and K. Roy, “Modeling and estimation of total leakage current in nano-scaled CMOS devices considering the effect of parameter variation,” in Proc. Int. Symp. on Low Power Electronics and Design (ISLPED), 2003, pp. 172–175.

    Google Scholar 

  16. S. Narendra, V. De, S. Borkar, D. A. Antoniadis, and A. P. Chandrakasan, “Full-chip subthreshold leakage power prediction and reduction techniques for sub-0.18-μm CMOS,” IEEE J. Solid-State Circuits, vol. 39, no. 3, pp. 501–510, Mar 2004.

    Google Scholar 

  17. S. Nassif, “Delay variability: sources, impact and trends,” in Proc. IEEE Int. Solid-State Circuits Conf., San Francisco, CA, Feb 2000, pp. 368–369.

    Google Scholar 

  18. M. Orshansky, L. Milor, and C. Hu, “Characterization of spatial intrafield gate cd variability, its impact on circuit performance, and spatial mask-level correction,” in IEEE Trans. on Semiconductor Devices, vol. 17, no. 1, Feb 2004, pp. 2–11.

    Google Scholar 

  19. R. Rao, A. Srivastava, D. Blaauw, and D. Sylvester, “Statistical analysis of subthreshold leakage current for VLSI circuits,” IEEE Trans. on Very Large Scale Integration (VLSI) Systems, vol. 12, no. 2, pp. 131–139, Feb 2004.

    Google Scholar 

  20. R. Shen, N. Mi, S. X.-D. Tan, Y. Cai, and X. Hong, “Statistical modeling and analysis of chip-level leakage power by spectral stochastic method,” in Proc. Asia South Pacific Design Automation Conf. (ASPDAC), Jan 2009, pp. 161–166.

    Google Scholar 

  21. A. Srivastava, R. Bai, D. Blaauw, and D. Sylvester, “Modeling and analysis of leakage power considering within-die process variations,” in Proc. Int. Symp. on Low Power Electronics and Design (ISLPED), Aug 2002, pp. 64–67.

    Google Scholar 

  22. S. X.-D. Tan and L. He, Advanced Model Order Reduction Techniques in VLSI Design. Cambridge University Press, 2007.

    Google Scholar 

  23. R. Teodorescu, B. Greskamp, J. Nakano, S. R. Sarangi, A. Tiwari, and J. Torrellas, “A model of parameter variation and resulting timing errors for microarchitects,” in Workshop on Architectural Support for Gigascale Integration (ASGI), Jun 2007.

    Google Scholar 

  24. J. M. Wang, B. Srinivas, D. Ma, C. C.-P. Chen, and J. Li, “System-level power and thermal modeling and analysis by orthogonal polynomial based response surface approach (OPRS),” in Proc. Int. Conf. on Computer Aided Design (ICCAD), Nov 2005, pp. 727–734.

    Google Scholar 

  25. J. Xiong, V. Zolotov, and L. He, “Robust extraction of spatial correlation,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 26, no. 4, 2007.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2012 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Shen, R., Tan, S.XD., Yu, H. (2012). Traditional Statistical Leakage Power Analysis Methods. In: Statistical Performance Analysis and Modeling Techniques for Nanometer VLSI Designs. Springer, Boston, MA. https://doi.org/10.1007/978-1-4614-0788-1_3

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-0788-1_3

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4614-0787-4

  • Online ISBN: 978-1-4614-0788-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics