Skip to main content

Hardware Trojan Detection

  • Chapter
  • First Online:
Introduction to Hardware Security and Trust

Abstract

Emerging trend of outsourcing the design and fabrication services to external facilities as well as increasing reliance on third-party Intellectual Property (IP) cores and electronic design automation tools makes integrated circuits (ICs) increasingly vulnerable to hardware Trojan attacks at different stages of its life-cycle. Figure 15.1 shows the modern IC design, fabrication, test, and deployment stages highlighting the level of trust at each stage. This scenario raises a new set of challenges for trust validation with respect to malicious design modification at various stages of an IC life-cycle, where untrusted components/personnel are involved [1]. In particular, it brings in the requirement for reliable detection of malicious design modification made in an untrusted fabrication facility, during post-manufacturing test. It also imposes a requirement for trust validation in IP cores obtained from untrusted thirdparty vendors.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 99.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 129.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 179.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. DARPA (2007) TRUST in Integrated Circuits (TIC). http://www.darpa.mil/MTO/solicitations/baa07--24. Accessed 15 Sept. 2008

  2. Lin L et al. (2009) Trojan side-channels: lightweight hardware Trojans through side-channel engineering. In: Proceedings of Workshop on Cryptographic Hardware and Embedded Systems

    Google Scholar 

  3. Chipworks, Inc., Semiconductor Manufacturing – Reverse Engineering of Semiconductor components, parts and process. http://www.chipworks.com. Accessed 20 July 2011

  4. Abramovici M, Bradley P (2009) Integrated circuit security – New threats and solutions. In: Proceedings of Workshop on Cyber Security and Information Intelligence Research, pp 1–3

    Google Scholar 

  5. Bloom G, Narahari B, Simha R (2009) OS support for detecting Trojan circuit attacks. In: Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust

    Google Scholar 

  6. Hicks M, Finnicum M, King ST, Martin MMK, Smith JM (2010) Overcoming an untrusted computing base: detecting and removing malicious hardware automatically. In: Proceedings of the IEEE Symposium on Security and Privacy

    Google Scholar 

  7. McIntyre D, Wolff F, Papachristou C, Bhunia S (2009) Dynamic evaluation of hardware trust. In: Proceedings of the IEEE InternationalWorkshop on Hardware-Oriented Security and Trust

    Google Scholar 

  8. Chakraborty RS et al. (2009) MERO: a statistical approach for hardware Trojan detection. In: Proc Workshop on Cryptographic Hardware and Embedded Systems

    Google Scholar 

  9. Jha S, Jha SK (2008) Randomization based probabilistic approach to detect Trojan circuits. In: Proceedings of the 11th IEEE High Assurance Systems Engineering Symposium, pp 117–124

    Google Scholar 

  10. Aarestad J, Acharyya D, Rad R, Plusquellic J (2010) Detecting Trojans though leakage current analysis using multiple supply pad IDDQs. In: Proceedings of the IEEE Transactional Information Forensics and Security

    Google Scholar 

  11. Alkabani Y, Koushanfar F (2009) Consistency-based characterization for IC Trojan detection. In: Proceedings of the International Conference on Computer-Aided Design

    Google Scholar 

  12. Potkonjak M, Nahapetian A, Nelson M, Massey T (2009) Hardware Trojan horse detection using gate-level characterization. In: Proceedings of the Design Automation Conference

    Google Scholar 

  13. Agrawal D, Baktir S, Karakoyunlu D, Rohatgi P, Sunar B (2007) Trojan detection using IC fingerprinting. In: Proceedings of the Symposium on Security and Privacy pp 296–310

    Google Scholar 

  14. Banga M, Hsiao M (2008) A region based approach for the identification of hardware Trojans. In: Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust

    Google Scholar 

  15. Banga M, Hsiao M (2009) A novel sustained vector technique for the detection of hardware Trojans. In: Proceedings of the International Conference on VLSI Design

    Google Scholar 

  16. Salmani H, Tehranipoor M, Plusquellic J (2010) A layout-aware approach for improving localized switching to detect hardware Trojans in Integrated Circuits. In: Proceedings of the IEEE International Test Conference

    Google Scholar 

  17. Du D, Narasimhan S, Chakraborty RS, Bhunia S (2010) Self-referencing: a scalable side-channel approach for hardware Trojan detection. In: Proceedings of the Workshop on Cryptographic Hardware and Embedded Systems

    Google Scholar 

  18. Jin Y, Makris Y (2008) Hardware Trojan detection using path delay fingerprint. In: Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust

    Google Scholar 

  19. Li J, Lach J (2008) At-speed delay characterization for IC authentication and Trojan horse detection. In: Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust, pp 8–14

    Google Scholar 

  20. Narasimhan S et al. (2010) Multiple-parameter side-channel analysis: a non-invasive hardware Trojan detection approach. In: Proceedings of the IEEE International Symposium on Hardware-Oriented Security and Trust

    Google Scholar 

  21. Tehranipoor M, Koushanfar F (2010) A survey of hardware Trojan taxonomy and detection. IEEE Design Test Comput 27(1): 10–25

    Article  Google Scholar 

  22. Borkar S et al. (2003) Parameter variations and impact on circuits and microarchitecture. In: Proceedings of the Design Automation Conference, pp 338–342

    Google Scholar 

  23. Pomeranz I, Reddy SM (2004) A measure of quality for n-detection test sets. IEEE Trans Comput 53(11): 1497–1503

    Article  MathSciNet  Google Scholar 

  24. Chakraborty RS, Bhunia S (2009) Security against hardware Trojan through a novel application of design obfuscation. In: Proceedings of the International Conference on Computer-Aided Design

    Google Scholar 

  25. Chakraborty RS, Paul S, Bhunia S (2008) On-demand transparency for improving hardware Trojan detectability. In: Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust, pp 48–50

    Google Scholar 

  26. Rad R, Plusquellic J, Tehranipoor M (2010) A sensitivity analysis of power signal methods for detecting hardware Trojans under real process and environmental conditions. IEEE Transaction on Very Large Scale Integration Systems

    Google Scholar 

  27. Smith S, Di J (2007) Detecting malicious logic through structural checking. In: Proceedings of IEEE Region 5 Technical Conference

    Google Scholar 

  28. Potkonjak M (2010) Synthesis of trustable ICs using untrusted CAD tools. In: Proceedings of Design Automation Conference

    Google Scholar 

  29. Banga M, Hsiao M (2010) Trusted RTL: Trojan detection methodology in pre-silicon designs. In: Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust

    Google Scholar 

  30. Ali S, Mukhopadhyay D, Chakraborty RS, Bhunia S (2011) Multi-level attack: an emerging threat model for cryptographic hardware. In: Proc Design Automation and Test in Europe

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Seetharam Narasimhan .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2012 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Narasimhan, S., Bhunia, S. (2012). Hardware Trojan Detection. In: Tehranipoor, M., Wang, C. (eds) Introduction to Hardware Security and Trust. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-8080-9_15

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-8080-9_15

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-8079-3

  • Online ISBN: 978-1-4419-8080-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics