Skip to main content

Pattern Generation

  • Chapter
  • First Online:
Copper Interconnect Technology

The desire for small feature size continues to make tremendous gains in device performance but with added circuit complexity. Fine pattern generation on the deposited dielectric layer is the usual practice in damascene (single/dual) architecture. The main driver behind this is advanced photolithography (or lithography which uses a high polymer, called a resist, and a proper radiative exposure with proper optical systems). In an effort to keep up the trend of reduction in feature size, semiconductor industries have switched over from conventional ultraviolet (UV) to deep ultraviolet (DUV) lithography. Figure 4.1 shows a picture of the trenches produced by using DUV resist and phase-shift mask (PSM). The resist images show a k 1 factor as small as 0.25 (the 2004 ITRS requirement for technology node is hp90 and is expected to be hp65 by the year 2007, where hp 90 means 90 nm node technology).

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. J.G. Ryan, R.M. Geffken, N.R. Poulin, and J.R. Paraszczak, The Evolution of interconnection Technology at IBM, IBM J. Res. Dev., 39, 371 (1995), and J.D. Meindl, Interconnection limits on XXI century Giga scale integration, Adv. Interconnect and Contacts Mater and process for future ICs MRS Symp., (April 13–16, 1998) (Bell Lab, NJ.) and also A.V. Vairagar et al., J. electrochem. Soc., 153 (9), G-840 (2006)

    Google Scholar 

  2. D. Edelstein, J. Heidenreich, R. Goldblatt, W. Cote, C. Uzoh, N. Lustig, P. Roper, T. Mcdevitt, W. Motsiff, A. Simon, J. Dukovic, R. Wachnik, H. Rathor, R. Schutlz, L. Su, S. Luce, and J. Slattery, Full copper wiring in a sub-o.25 μm CMOS ULSI technology, Proc. IEEE, IEDM, pp. 773–776, (1997) and also A.R. Kumar, C.M. Tan, T.K.S. Wong, and C-H Tung, Semicond. Sci. Technol., 21, 1369 (2006)

    Google Scholar 

  3. S.J. McNab and R.J. Blaikie, Appl. Opt., 39, 20 (2000) and B. LeGratiet et al., Proc. SPIE, 6922 (33), (Feb., 2008), and Proc. SPIE, 6925, 6925E (April, 2008)

    Google Scholar 

  4. J.B. Pendry, Phys. Rev. Lett., 85, 3966 (2000)

    Article  Google Scholar 

  5. R.J. Blaike and S.J. McNab, Microelectron. Eng., 61–62, 97 (2002)

    Google Scholar 

  6. M.J. Bowden, L.F. Thompson, and C.G. Wilson (Eds.), Introduction to microlithography, Am. Chem. Soc., Washington DC, p. 70, (1994) and also G.M. Wallraff and W.D. Hinsberg, Chem. Rev., 99, 1801 (1999)

    Google Scholar 

  7. P.S. Silverman, Intel Technol. J., 6 (2), 55–61 May 16, (2002)

    Google Scholar 

  8. H. Liu, L. Karklin, Y. Wang, and Y. Pati, Application of alternating phase shift masks to 140 nm gate patterning (II), Optical lithography XI, Proc. SPIE, 3334 (1998)

    Google Scholar 

  9. H. Liu and A. Wong, 150 nm DRAM optical lithography capability, ARCH microlithography interface, Proc., (1999) and also M.D. Levenson, Jpn. J. Appl. Phys., 33, 6765 (1994)

    Google Scholar 

  10. R.R. Kunz et al., Outlook for 157 nm resist design, Adv. Resist. Technol. Proc. XVI, SPIE, 3678, 13–23 March 13, (1999)

    Article  Google Scholar 

  11. R.H. French et al., Novel hydrofluorocarbon polymers for use as pelicles in 157 nm semiconductor photolithography, J. Fluorine Chem., 122, 63 (2003)

    Article  Google Scholar 

  12. International SEMATECH meeting, Los Angeles, (Jan. 30, 2004) and also M. Switkes and M. Rothchild, J. Vac. Sci. Technol., B-19, 2353 (2001) and A.K. Raub et al., Imaging capabilities of resist in deep ultra violet liquid immersion interferometric lithography, J. Vac. Sci. Technol., B-22 (6), 3459 (2004) and R.H. French et al, “Imaging of 32 nm 1:1 lines and spaces using 193 nm immersion lithography…”, J. Microlitho. Microfab. Mcrosyst., Topical issue on hyper-NA imaging, 4 (3), 031103, (July-Sep., 2005)

    Google Scholar 

  13. A.K. Bates, M. Rothchild, T.M. Bloomstein, T.H. Fedynyshyn, R.R. Kunz, V. Liberman, and M. Switkes, Review technology for 157 nm lithography, IBM J. Res. Dev., 45 (5), 605 (2001) and also S. Kramer, R.R. Fuierer, and C.B. Gorman, Chem. Rev., 103, 4367 (2003) and D. Ginger, H. Zhang, and C.A. Mirkin, Agnew. Int. Ed., 43, 30 (2004)

    Google Scholar 

  14. P.M. Montgomery et al., High NA ArF lithography for 70 nm technology, Proc. Opt. Mirolith. XV, SPIE, 4691 (2004) and also R.H. French et al., Novel hydrofluorocarbon polymers for use of pellicles in 157 nm semiconductor photolitholithography, J. Fluorine chem., 122, 63 (2003)

    Google Scholar 

  15. S. Wolf and R.N. Tauber, Silicon processing for VLSI era, Vol. 1, Lattice Press, Sunset Beach, CA, p. 486, (1986) and also M. Fritze, 100 nm node lithography with KrF, SPIE Microlith. Conf. Santa Clara CA, (2001)

    Google Scholar 

  16. W. Scot Ruska, Microelectronic Processing, McGraw Hill, New York., Chapter 5, p. 163, (1987)

    Google Scholar 

  17. M. Bowden, A perspective on resist materials for fine line lithography, In L.F. Thompson, C.G. Wilson and J.M.J. Frechet (eds.), Materials for microlithography, ACS Pub. Washington, DC, (1984) and also C.W. Gwyn, Extreme ultraviolet lithography, J. Vac. Sci. Technol., B 16, 3142 (1998)

    Google Scholar 

  18. K. Kotoku, ArF exposure system with 0.85 NA for 90 nm node and beyond, SEMI Tech. Symp. Semicon, Japan, 3–19, (2002) and also S. Thompson et al., Technology Development Status, Semocond. Tech. Manuf. Intel Technol. J., 06 (2), (May 16, 2002)

    Google Scholar 

  19. R.R. Kunz, T.M. Bloomstein, D.E. Hardy, R.B. Goodman, D.K. Downs, and J.E. Curtin, J. Vac. Sci. Technol., 17, 3267 (1999)

    Article  Google Scholar 

  20. S.C. Fu, H.W. Lin, W.Y. Chou, L.A. Wang, and K.H. Hsieh, Study of the synthesis and characterization of methacrylate photoresists, J. Appl. Polym. sci., 83 (9), 1860 (2002)

    Article  Google Scholar 

  21. G.M. Wallraf and W.D. Hinsberg, Chem. Rev., 99, 1801 (1999) and also K. Paterson, M. Somervell, and C.G. Wilson, Solid state Technol., 43 (3), 41 (2003)

    Google Scholar 

  22. A.E. Feiring et al., Design of very transparent fluoropolymer resists for semiconductor manufacture at 157 nm, J. Fluorine Chem., 122, 11 (2003) and also A.K. Bates et al., Review of technology for 157 nm lithography, IBM J. Res. Dev., 45 (5), 605 (2001)

    Google Scholar 

  23. H. Ito, C.G. Wilson, and J.M. Frechet, US Patent, 4491628 (1985)

    Google Scholar 

  24. D.J.T. Hill, J.H. O’Donnell, and P.J. Pomery, Fundamental aspects of polymer degradation by high energy radiation, In L.F. Thompson, C.G. Wilson, and J.M.J. Frechet, Materials for Microlithography, Am. Chem. Soc., Washington DC, (1984) and also T.M. Bloomstein, M.W. Horn, M. Rothchild, R.R. Kunz, S.T. Palmacci, and R.B. Goodman, J. Vac. Sci. Technol., 17, 3267 (1999)

    Google Scholar 

  25. E. Reichmanis, O. Nalamasu, and F.N. Houlin, Acc. Chem. Res., 31, 659 (1999) and also D.P. Medeiros et al., Recent progress in e-beam resist for advance mask making, IBM J. Res. Dev., 45 (5), 639 (2001)

    Google Scholar 

  26. S.C. Fu, K.H. Hsieh, and K.H. Hsieh, The kinetics of negative tone acrylic photoresist for 193 nm lithography, J. Polym. Sci. A: A Polym. Chem., 38, 954 (2000)

    Article  Google Scholar 

  27. R.R. Kunz et al., J. Vac. Sci. Technol., B 17, 3267 (1999) and also T.H. Fedynyshyn et al., Proc. SPIE, 3999, 335 (2000)

    Google Scholar 

  28. O. Nalamasu et al., J. Vac. Sci. Technol., B 16, 3716 (1998) and also M. Rithchield et al., J. Vac. Sci. Technol., B-17, 3262 (1999)

    Google Scholar 

  29. A.J. Pasquale, Ph.D. Thesis, Virginia polytech. Inst. VA, (April 22, 2002)

    Google Scholar 

  30. F.M. Houlihan, T.I. Wallow, O. Nalamasu, and E. Reichmains, Macromolecules, 30, 6517 (1997)

    Article  Google Scholar 

  31. U. Okoroanyanwu, J. Byers, T. Shimokawa, and C.G. Wilson, Chem. Mater., 10, 3328 (1998)

    Article  Google Scholar 

  32. K. Nozaki and E. Yano, Fujitsu Sci. Tech. J., 38, 1 (2002) and J. van Olmen et al., Integration of 50  nm half pitch single damascene copper trenches, AMC Tech. Dig., 5 (2007)

    Google Scholar 

  33. S.C. Fu and K.H. Hsieh, The kinetics of a negative-tone acrylic photoresit for 193 nm lithography, J. Polym. Sci., A: Polym. Chem., 38, 954–961 (2000)

    Article  Google Scholar 

  34. Optics and Photonics News, (May, 2003) and also S.D. Berger et al., J. Vac. Sci. Technol., B-9 (6), 2996 (1991)

    Google Scholar 

  35. Y. Zhang, P. Ware, K. Kotoku, and Y. Yamada, Potential KrF scanning lithography for 130 nm technology node, Future Fab. Int., ICG Pub., UK, 15 (Nov., 2003) and also R. Richhoeff et al., Patterning curved surfaces, J. Vac. Sci. Technol., B-17 (6), 2965 (1999)

    Google Scholar 

  36. M. Noguchi, M. Muraki, Y. Iwasaki, and A. Suzuki, Proc. SPIE, 1674, 92 (1992)

    Article  Google Scholar 

  37. M. Hussein, S. Shivakumar, R. Brain, B. Beattie, P. Nguyen, and M. Fradkin, A novel approach to dual damascene patterning, Proc. IEEE-IITC, p. 18 (2002) and also J. Kennedy, T. Baldwin, N. Hacker, and R. Spears, Spin on glass antireflective coatings for photolithography, US patent 6268457 (1999)

    Google Scholar 

  38. P. Schiavone, C. Esclope, and A. Halimaoui, SiON-based antireflective coatings for 193 nm lithography, Proc. SPIE-Microlithography, (1999), Advances in resist technology and processing XVI, 3678, 1091–1095 (1999) and also M. Hussein, S. Sivkumar, R. Brain, B. Beattie, P. Nguyen, and M. Fradkin, A novel approach to double damascene patterning, Proc. IEEE-IITC, p. 18, (2002)

    Google Scholar 

  39. T.G. Tessier, J.M. Frechet, C.G. Wilson, and H. Ito, The photo-fries rearrangement and its use in polymeric imaging system, L.F. Thompson, C.G. Willson. And J.M.J. Frechet, (eds.), ACS Pub. Washington, DC, p. 287, (1984) and also R.D. Allen, G.M. Wallraff, D.C. Hofer, and R.R. Kunz, Photoresists for 193 nm lithography, IBM J. Res. Dev., 41 (1/2), 10 (1997)

    Google Scholar 

  40. X. Shao, A. Guerrero, and Y. Gu, SEMICON, China, (March 17, 2004) and also Q. Lin et al., SPIE, 3678, 241 (1999) and S.A. Rasgoa, Ph.D. thesis, MIT, (Oct. 21, 2004)

    Google Scholar 

  41. M. Switkes, M. Rothchild, R.R. Kunz, S.Y Baek, D. Coles, and M. Yeung, Immersion lithography: Beyond the 65 nm node with optics, Microlithography World, p. 4, (May, 2003) and K. Ishimaru, 33rd Euro Solid State Circuit Conf., 11–13, 32 (2007)

    Google Scholar 

  42. M.D. Levenson, N. Viswanathan, and R.A. Simpson, IEEE Trans. Electron. Dev., ED-29, 1828 (1982) and also P.F. Cacia, G. Huges, R.H. French, C. Torardi, G. Reynolds, L. Dieu, Thin films for phase shift masks, Vac. & thin films, HIS Pub., 14–21, (Sept., 1999) and R.R. Kunz, R.R. Rothchild, and M.S. Yeung, J. Vac. Sci. Technol., B21, 78 (2003) and H. Dang, J.L.P. Tan, and M.W. Horn, J. Vac. Sci. Technol., B21, 1143 (2003), and P.F. Carcia, R.H. French, M.H. Reilly, M.F. Lemon, and D.J. Jones, Optical superlatices—a strategy for designing phase shift masks for photolithography at 248 and 193 nm, Appl. Phys. Lett., 70 (18), 2471 (1997) and also K.M. Lee et al., Proc. SPIE, 6518, 651514 (2007)

    Google Scholar 

  43. M.D. Levenson, Jpn. J. Appl. Phys., 33, 6765 (1994), and also J.G. Goodberlet and H. Kavak, Appl. Phys. Lett., 81, 1315 (2002) and J. Maria, V. Malyachuk, J. White, and J.A. Rogers, Experimental and computational studies of phase shift lithography with binary elastomeric mask, J. Vac. Sci. Technol., B24 (2), 828 (2006) and also F. Sundermann et al., Proc. SPIE, 7028, (April, 2008)

    Google Scholar 

  44. M.D. Levenson, N.S. Viswanathan, and R.A. Simpson, Improving resolution in photolithography with phase shifting mask, IEEE Trans. Electron. Dev., ED-29, 1828–1836 (1982) and also P.F. Garcia et al., Thin film for phase shift masks, Vacuum and thin film, HIS publishing group, 14–21, (Sept., 1999) and also T. Schram et al., VLSI Tech Symp., (2008)

    Google Scholar 

  45. K.K. Shih and D.B. Dove, Thin film materials for preparation of attenuating phase shift masks, J. Vac. Sci. Technol., B12, 32–36 (1994) and J. Maria, V. Malyachuk, J. White, and J.A. Rogers, Experimental and computational studies of phase shift lithography with binary elastomeric mask, J. Vac. Sci. Technol., B24 (2), 828 (2006)

    Google Scholar 

  46. O. Hunderi, Physica, A 157, 309 (1989) and also P.F. Carcia, R.H. French, M.H. Reilly, M.F. Lemon, and D.J. Jones, Optical superlattices—a strategy for designing phase shift masks for photolithography at 248 and 193 nm: Application to AlN/CrN, Appl. Phys. Lett., 70 (18), 2371 (1997)

    Google Scholar 

  47. D.J. Jones, Optical superlatices—a strategy for designing phase shift masks for photolithography at 248 and 193 nm, Appl. Phys. Lett., 70 (18), 2471 (1997)

    Google Scholar 

  48. D. Schurz, L. Kirklin, X. Li, and T. Weed, Resolution enhancement technology for 157 nm lithography of 100 nm and 70 nm devices, Adv. Reticle Symp., (2001) and also A. Wong, Resolution enhancement techniques of optical lithography, Opt. Eng. V.TT47 SPIE, (2001)

    Google Scholar 

  49. J. Burnett, Z. Levine, and E. Shirley, Intrinsic birefringence in 157 nm materials, 2nd Int. Symp. On 157 nm lithography, Dana Point, CA (2001) and also J. Brunett, R. Gupta, and U. Griesmann, Proc. SPIE, 4000, 1503 (2000)

    Google Scholar 

  50. D. Anberg and W. Flack, Optical lithography aims for 157 nm, Photonics spectra, 34 (12), 74 (2000)

    Google Scholar 

  51. The International Technology Road map for Semiconductors, Lithography Technology Requirements-Near Term, 2000 update, Int. SEMATECH, Austin TX.

    Google Scholar 

  52. A.K. Bates, M. Rothschild, T.M. Bloomstein, T.H. Fedynyshyn, R.R. Kunz, V. Liberman, and M. Switkes, IBM. J. Res. Dev., 45 (5), 605–614 (Sept., 2001) and also J. Webb, Laser Focus World, p. 87, (Sept., 2000)

    Google Scholar 

  53. R. Roger et al., J. Fl. Chem., 122, 63 (2000) and P. De Bisschop et al., Proc. SPIE, 6730, 67301G (2007)

    Google Scholar 

  54. R.R. Kunz, T.M. Bloomstein, D.E. Hardy, R.B. Goodman, D.K. Downs, and J.E. Curtain, Outlook for 157 nm resist design, J. Vac. Sci. Technol., B17, 3267 (1999)

    Article  Google Scholar 

  55. V. Liberman et al., Materials issues for optical components and photomasks in 157 nm lithography, J. Vac. Sci. Technol., B17, 3273 (1999) and also T. Hoffman et al., Revising F2 laser for DUV lithography, Emerging lithography technologies, SPIE Proc., 3676 (1999)

    Google Scholar 

  56. J. Wilson and J.F.B. Hawkes, Optoelectronics, 2nd ed. Prentice Hall, Hemel Hempstead, p. 78, (1989)

    Google Scholar 

  57. J.H. Burnett, Z.H. Levine, and E.L. Shirley, Intrinsic birefringence in 157 nm materials, 2nd Int. Symp. On 157 nm lithography, Dana point CA, (May 14–17, 2001)

    Google Scholar 

  58. K.A. Pandelisev, Purification and crystal growth of CaF2 having superior properties, 2nd Int. Symp. On 157 nm lithography, Dana point CA, (May 14–17, 2001) and also C.M. Smith and L.A. Moore, Fused silica for 157 nm transmittance, Emerging lithography, Tech III Proc., SPIE, 3676 (1999)

    Google Scholar 

  59. C.M. Smith and L.A. Moore, Proc. SPIE, 3676, 834 (1999) and also A.K. Bates et al., Review of technology for 157 nm lithography, IBM. J. Res. Dev., 45 (5), 605–614 (2001)

    Google Scholar 

  60. T.K. Gupta, Effect of temperature on the photosensitivity of a photoresist (AZ 1350 J), Euro. Polym. J., 17, 1127–1130 (1981)

    Article  Google Scholar 

  61. L.A. Moore and C. Smith, Properties of fused silica for 157 photomasks, 19th Annual Symp. on Photomask Tech. Proc. SPIE, 3873 (1999) and also W. Flck et al., Simulation of subhalf-micron mask defect printability at XI reticle magnification , Integrated circuit metrology inspection and process control XI Proc. SPIE, 3050 (1997)

    Google Scholar 

  62. M. Cangemi, Masking materials for 157 lithography, 19th Annual Symp. Photomask Tech. Proc. SPIE, 3873 (1999) and also T. Bloomstein , V. Liberman and M. Rothchild, Optical materials and coatings at 157 nm emerging lithographic technology III Proc. SPIE, 3676 (1999)

    Google Scholar 

  63. T. Bloomstein, M.W. Horn, M. Rothchild, R.R. Kunz, S.T. Palmacci and R.B. Goodman, J. Vac. Sci. Technol., B-15, 2112 (1997)

    Article  Google Scholar 

  64. R.E. Miller et al., The development of 157 small field and mid field microsteppers, SPIE Symp., 4000-174, (2000)

    Google Scholar 

  65. M. Bohn, H.C. Hamaker, and W. Montgomery, Implementation and characterization of a DUV raster scanned mask pattern generation system, 21st Annual BACUS Symp. On Photomask technology, (2001) and also K. Harda, T. Tamamura, and O. Kogure, J. Electron. Chem. Soc., 129, 2576 (1982) and D.R. Medeiros et al., Recent progress in e-beam resist for advanced mask making, IBM J. Res. Dev., 45 (5), 639 (2001)

    Google Scholar 

  66. B.J. Greno (ed.), Proc. SPIE, 4562, 16 (2001) and also H.C. Peifer and W. Stickel, Microelectron. Eng., 27, 143 (1995)

    Google Scholar 

  67. M. Born and E. Wolf, Principles of Optics, 6th ed. Pergamon Press, New York, pp. 329–333, (1980) and also K. Nozaki and E. Yano, Fujitsu Sci. Tech. J., 38 (1), 3 (2002)

    Google Scholar 

  68. J.L. Mauer and R.A. Carruthers, Selective etching of SiO2 with CF4/H2 plasma, Proc. 21st Electron. Matts. Conf. Boulder CO (1979) and also A.K. Bates, Review of technology for 157 nm lithography, IBM J. Res. Dev., 45 (5), 609 (2001)

    Google Scholar 

  69. R.H. French et al., Novel hydrofluorocarbon polymers for use as pellicle in 157 nm semiconductor photolithography, J. Fluorine Chem., 122, 63 (2003)

    Article  Google Scholar 

  70. B.J. Lin, J. Vac. Sci. Technol., 12 (6), 1317 (1975)

    Article  Google Scholar 

  71. T. Iwayanagi, T. Kohashi, S. Nonogaki, J. Electrochem. Soc., 127, 2759 (1980)

    Article  Google Scholar 

  72. H. Ito, Chemical amplification resists: History and development of within IBM, IBM J. Res. Dev., 41, 69–80 (1997) and also M. Kurihara, T. Segawa, D. Okuno, N. Hayshi, and H. Sano, Proc. SPIE, 3412, 279 (1998)

    Google Scholar 

  73. M.K. Crawford et al., Proc. SPIE, 3999, 357 (2000) and H. Saitoh, T. Saga, S. Kobu, S. Sanki, and M. Hoga, Proc. SPIE, 3412, 269 (1998)

    Google Scholar 

  74. A.E. Feiring et al., Design of very transport fluoropolymer resists for semiconductor manufacture at 157 nm, J. Fluorine Chem., 122, 11 (2003)

    Article  Google Scholar 

  75. K.J. Przybilla, H. Roschert, G. Pawlowski, Adv. Mater., 4, 239 (1992) and also I. Ito et al., J. Vac. Sci. Technol., B-19, 2678 (2001)

    Google Scholar 

  76. F. Cerrina, H. Guckel, J. Wiley, and J. Taylor, J. Vac. Sci. Technol. B-3, 459 (1984)

    Google Scholar 

  77. A.M. Hawryluk and L.G. Seppala, J. Vac. Sci. Technol., B6, 2162 (1988)

    Article  Google Scholar 

  78. H. Kinoshita, K. Kurihara, Y. Ishii, and Y. Torii, Soft X-ray reduction lithography using multilayer mirrors, J. Vac. Sci. Technol., B-7 (6), 1648 (1989)

    Article  Google Scholar 

  79. M. Singh and J. Braat, Design of multilayer extreme ultraviolet mirrors for enhanced reflectivity, Appl. opt., 39 (13), 2189 (2000)

    Article  Google Scholar 

  80. K. Bergmann, O. Rosier, W. Neff, and R. Lebert, Pinch plasma radiation source for extreme ultraviolet lithography with kilohertz repetition frequency, Appl. Opt., 39, 3833 (2000)

    Article  Google Scholar 

  81. K. Bergmann, G. Schriever, O. Rosier, W. Neff, and R. Lebert, Highly repetitive extreme ultraviolet radiation source based on a gas-discharge plasma, Appl. opt., 38, 5413 (1999)

    Article  Google Scholar 

  82. M.A. Klosner and W.T. Silfvast, Intense xenon capillary discharge extreme ultraviolet source in the 10–16 nm wavelength region, Opt. Lett., 23, 1609 (1998)

    Article  Google Scholar 

  83. A.G. Michette, Optical system for soft X-rays, Plenum Press, New York, (1986)

    Book  Google Scholar 

  84. W.T. Silfvast, M.C. Richardson, H. Bender, A. Hanzo, V. Yanovsky, F. Jin, and J. Thrope, Laser induced plasmas for soft X-ray projection lithography, J. Vac. Sci. Technol., B-9 (6), 3176 (1991)

    Google Scholar 

  85. C. Jiang, Q. Yao, B. Eccles, A. Kuthi, and M.A. Gundersen, Pseudospark discharge-based extreme-ultraviolet radiation source, J. Vac. Sci. Technol., B-21 (6), 2843 (2004)

    Google Scholar 

  86. P.A. Kearney, C.E. Moore, S.I. Tan, and S.P. Vernon, Mask blanks for extreme ultraviolet lithography: Ion beam sputter deposition of low defect density Mo/Si multilayers, J. Vac. Sci. Technol., B15, 2452–2454 (1997)

    Article  Google Scholar 

  87. D. Sweeney, EUVL Prog. Rept. S8TR Nov. 1999 and C.W. Gwyn, Extreme ultraviolet lithography, J. Vac. Sci. Technol., B-16, 3142 (1998)

    Google Scholar 

  88. D.R. Herriot, Electron beam lithography machines, G.R. Brewer (ed.), Electron beam technology in microelectronic fabrication, Academic Press, New York, (1980)

    Google Scholar 

  89. H.C. Pfeiffer, G.O. Langer, and M.S. Sturans, Electron beam lithography system, US Patent 5,466, 904; and also H. Yasuda et al., J. Vac. Sci. Technol., B14 (6), 3813 (1996)

    Google Scholar 

  90. D.R. Medeiros et al., Recent progress in electron beam resist for advanced mask-making, IBM J. Res. Dev., 45 (5), 639–650 (2001) and also S. Wolf and R.N. Tauber, Silicon Processing in VLSI Era, Vol. 1, p. 501, Lattice Press, Sunset Beach, CA, (1986)

    Google Scholar 

  91. R.S. Dhaliwal et al., PREVAIL electron projection technology approach for next generation lithography, IBM J. Res. Dev., 45 (5), 615–638 (2001)

    Google Scholar 

  92. C.S. Raffery, H.H. Vuong, S.A. Esharghi, M.D. Giles, M.R. Pinto, and S.J. Hillenius, IEDM Tech. Dig., Issue 14, 311 (1993)

    Google Scholar 

  93. D.J. Resnick et al., High-resolution templates for step and flash imprint lithography, J. Microlitho. Microfab. Microsyst., 1 (3), 284 (2002) and also A. Hooper et al., Step and flash imprint lithography using UV-transparent, electrically conductive templates, Nanotech, Vol. 3, Chapter 1, NISTI Pub., Cambridge, MA (2003)

  94. S.W. Crowder, P.M. Rausseau, J.P. Snyder, J.A. Scott, P.B. Griffin, and J.D. Plummer, IEDM Proc. p. 427, (1995)

    Google Scholar 

  95. F.H. Baumann, Semiconductor characterization, Present status and future needs, W.M. Bullis, D.G. Seiler, and A.C. Diebold (eds.), AIP Press, Washington DC, (1995) and S. Sankaran et al., IEEE IEDM Tech Dig., Issue 2, 6 (2006)

    Google Scholar 

  96. S.K. Krisch, J.D. Bude, and L. Manchanda, IEEE Electron. Dev. Lett., 17, 521 (1995)

    Article  Google Scholar 

  97. H.H. Vuong et al., IEEE Trans. Electron. Dev., 43, 1144 (1996) and L. Broussous et al., IEEE IITC,, San Francisco, CA, (June, 2008)

    Google Scholar 

  98. F. Watanabe and Y. Ohnishi, J. Vac. Sci. Technol., B-4, 422 (1986)

    Article  Google Scholar 

  99. N.J. Chou, C.H. Tang, J. Paraszczak, and E. Babich, Appl. Phys. Lett., 46, 31 (1985)

    Article  Google Scholar 

  100. M. Pons, J. Pelletier, O. Joubertand, and P. Paniez, Jpn. J. Appl. Phys. Part-I, 34, 3723 (1995)

    Article  Google Scholar 

  101. D. Eon, V. Rablland, G. Cartrt, M.C. Peignon-Fernandez, and Ch. Cardinaud, Eur. Phys. J. Appl. Phys., 28, 331 (2004)

    Article  Google Scholar 

  102. N.P. Hacker, Organic and inorganic spin on polymers for low dielectric constant applications, MRS Bull., (Oct., 1997) and also J.C. Witzel, J. Interconnect Technol. Conf. (IITC) Proc., 99 (2000)

    Google Scholar 

  103. M.J. Laboda and G.A. Toskey, Understanding hydrogen silesquioxane-based dielectric film processing, Solid State Technol., 41, 99 (1998) and also H.J. Lee et al. Structural comparison of hydrogen silsesquioxane based porous low-K thin films prepared by varying process conditions, Chem. Mater., 14, 1845 (2002)

    Google Scholar 

  104. Oehrlein et al., J. Vac. Sci. Technol., A-18, 2742 (2000)

    Google Scholar 

  105. T. Standaert et al., J. Vac. Sci. Technol., A 16, 239 (1998)

    Article  Google Scholar 

  106. T. Akimoto, H. Nanbu, and E. Ikawa, J. Vac. Sci. Technol., B-13, 2390 (1995)

    Article  Google Scholar 

  107. M.F. Doemling, N.R. Rueger, and G.S. Oehrlein, Appl. Phys. Lett., 68, 10 (1996)

    Article  Google Scholar 

  108. R. Barnett, L.A. Donahue, A. Newton, A. Baker, and J. Hopkins, Addressing side wall roughness, Solid State Technol., 47, 28 (July, 2004) and S.M. Rossangel and J.P. Hopwood, Appl. Phys. Lett., 63, 3285 (1993) and also M.J. Kushner, IEEE IITC, San Francisco, CA, (June, 2008)

    Google Scholar 

  109. M. Pons et al., Jpn. J. Appl. Phys. Part I, 33, 991 (1994)

    Article  Google Scholar 

  110. R. Hasio et al., J. Electrochem. Soc., 144, 1008 (1997)

    Article  Google Scholar 

  111. O. Joubert et al., J. Vac. Sci. Technol., B-15, 629 (1997)

    Article  Google Scholar 

  112. A. Yahata, S. Urano, and T. Inoue, Jpn. J. Appl. Phys. Part I, 36, 6722 (1997)

    Article  Google Scholar 

  113. Q. Lin et al., Proc. SPIE, 3678, 241 (1999) and also D.E. Seeger et al., IBM J. Res. Dev., 41, 105 (1997)

    Google Scholar 

  114. S.A. Rasgon, Ph.D. thesis, Oct. 21, Dept. of Chem. MIT, MA 02139, (2004) and S. Satyanarayana, R. McGovern, B. White, and S. Hosali, Damage mechanism in porous low-K integration, Semicond. Int., Issue 6, 32, June (2005)

    Google Scholar 

  115. S. Morishita et al., Plasma wall interaction in dual frequency narrow gap reactive ion etching system, Jpn. J. Appl. Phys., 37, 6899 (1998)

    Article  Google Scholar 

  116. Y. Yonogami et al., Anisotropic etching of RuO2 and Ru with high aspect ratio, for gigabit dynamic random access memory (DRAM), J. Vac. Sci. Technol., B-18 (4), 1911 (2000)

    Article  Google Scholar 

  117. A. Kerber et al., Elect. Dev. Lett., 24, 87 (2003)

    Article  Google Scholar 

  118. C. Hobbs et al., Trans. Electron. Dev., 51, 971 (2004)

    Article  MathSciNet  Google Scholar 

  119. Z. Ren, et al., IEDM Tech. Dig., Issue 4, 793 (2003)

    Google Scholar 

  120. M. Sternheim, W. Van Gelder, and A.W. Hartman, A laser interferometer system to monitor dry etching of patterned Si, J. Electrochem. Soc., 130, 655 (1983) and also, P.J. Marcoux and P.D. Foo, Methods of end point detection for plasma etching, Solid State Technol., 19, 115 (April, 1981) and L. Sha and J.P. Chang, Plasma etching of high dielectric constant materials on silicon in halogen chemistry, J. Vac. Sci. Technol. A., 22 (1), 88 (2004)

    Google Scholar 

  121. M.Case et al., Selective wet etching of Hf-based layers, Abstract 549, 204th meeting of Electrochem. Soc., (2003) and J.S. Starzynski, Selective removal of Hf-based high-K films, Semicond. Int., 29 (4) 42 (April, 2006)

    Google Scholar 

  122. V. Lowaleker and S. Raghavan, Etching of zirconium oxide, hafnium oxide, and hafnium silicates in dilute hydrofluoric acid solutions, J. Mater. Res., 19 (4), 1149 (2004) and K.L. Saenger, H.F. Okorn Schmidt, and C.P. D’Emie, A selective etching process for chemically inert high-K metal oxides, in Novel materials and process for advanced CMOS, Mater. Res. Soc. Proc., 745, 79 (2003)

    Google Scholar 

  123. F. Laermer and A. Schlip, Patent No. DE4241045 (US-5501893), (1994)

    Google Scholar 

  124. S.K. Ghandhi, VLSI fabrication principles, Wiley, New York, p. 516, (1983) and K. Karuppiah et al., CMP-MIC Tech. Dig., Issue 2, 45–54, Feb. (2006)

    Google Scholar 

  125. F. Daniels and R. Alberly, Physical chemistry, Wiley, New York, p. 126, (1981) and also S. Broydo, Important considerations in selecting anisotropic plasma etching equipment, Solid State Technol., p. 159, (April, 1983) Technology News, Solid State Technol., p. 32, (Dec., 2000) and D. Zeidler, Z. Stavreva, M. Plotner, and K. Drescher, The interaction between different barrier metals and copper surface during chemical mechanical polishing, Microelectron. Eng., 37/38, 237 (1997) and L. Wang and F.M. Doyale, Known effects of pattern characteristics on copper CMP and future directions, Proc. 9th Int. Conf. On CMP for ULSI multilevel interactions, Feb. (2004)

    Google Scholar 

  126. J.A. Greenwood and J.B.P. Williamson, Contact of normally flat surface, Proc. R. Soc. London Ser. A, 295, 300 (1966)

    Article  Google Scholar 

  127. K.L. Jackson, Contact mechanics, Cambridge University, Press, Cambridge, UK, (1985)

    Google Scholar 

  128. B. Bhusan (ed.), Hand book of micro/Nano tribology, 2nd ed. CRC Press, Boca Raton, FL, (1999) and J.G. Park and A. Busnaina, Cu-post CMP cleaning, Semicond. Int., 28 (8), 39 (2005)

    Google Scholar 

  129. N. Moumen, M. Guarrera, J. Piboontum, and A.A. Busaina, Contact and non-contact Post CMP cleaning of thermal oxide silicon wafers, Proc. 10th Annual IEEE/SEMI. Advanced Semiconductor Manufacturing Conf. Boston, MA, Sept. 8–10, p. 250, (1999)

    Google Scholar 

  130. A.A. Busnaina, T.M. Elsawy, Post CMP cleaning using acoustic streaming, J. Electron. Mater., 27 (10), 1095 (1998)

    Article  Google Scholar 

  131. V.B. Menon and R.P. Donovan, Handbook of semiconductor wafer cleaning technology, W. Kem (ed.), Noyce Pub., Port Republic, NJ (1993)

    Google Scholar 

  132. S. Banerjee, H.F. Chung, R.J. Small, and C. Shang, Copper interconnects new contact metallurgies/structures and low-K interlevel dielectrics/2002 G.S. Mathad et al. (eds.), PV 2002-22, p. 293 (2002), The Electrochem. Soc. Pub. Pennington, NJ, (2003)

    Google Scholar 

  133. H. Kyodaet al., Improvement of CD control ability in developer process, SPIE microlithography Proc. 5039, (2003) and also G.W. Ray and S. Lassing, Semicond. Int., 24, 96 (2001), and L. Peters, Designing tracks for better CD control, Semicond. Int., 26 (9), 54 (2003), and B. Cohen et al ., Dynamic temperature profiling for post exposure bake, Semicond. Int., 26 (2), 12 (2003) and M. Fritze et al, Nanofabrication with deep ultraviolet lithography and resolution enhancement, J. Vac. Sci. Technol., B17, 3310 (1999)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Tapan Gupta .

Rights and permissions

Reprints and permissions

Copyright information

© 2009 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Gupta, T. (2009). Pattern Generation. In: Copper Interconnect Technology. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-0076-0_4

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-0076-0_4

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-0075-3

  • Online ISBN: 978-1-4419-0076-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics