Skip to main content

Diffusion and Barrier Layers

  • Chapter
  • First Online:
Copper Interconnect Technology

Diffusion is a frequently used technique to incorporate impurities into a semiconductor. Imperfection in a nearly perfect crystal is the prime theme of intentional impurity diffusion in silicon crystals [1] for the formation of p-n junctions, conduction channels, and source drain regions. The performance of the devices depends critically on the impuri-ty concentration and the impurity profile. For this reason the diffusion of various impurities in semiconductors has been studied extensively.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. H.J. Queisser and E.E. Haller, Defects in semiconductors: Some fatal, some vital, Science, 281, 945 (1998) and also P. Stiffer and E. Krimmel (eds.), Silicon evolution and future of a technology, p. 249, Springer, New York, (2004) and B.I. Boltaks, A treatise on diffusion in semiconductors, Academic, San Diego, CA., (1963) and O. Muscato, Physica, 365 (2), 409 (2006) and IEEE IEDM News, Dec 16, (2007)

    Google Scholar 

  2. A.A. Istratov and E.R. Weber, Physics of copper in silicon, J. Electrochem. Soc., 149 (1), G21 (2002) and also T. Heiser, S. McHugo, H. Heislmair, and E.R. Weber, Transient ion drift direction of low level copper contamination in silicon, Appl. Phys. Lett., 70, 3576 (1997) and Z. Xi, J. Chen, D. Yang, A. Lawerenz, and H.J. Moeller, Copper precipitation in large diameter Czocharlski silicon, J. Appl. Phys., 97, 094909 (2005) and also S-H Kim et al., Electrochem. Solid State Lett., 11 (5), H-127 (2008)

    Google Scholar 

  3. J. Li, Y.S. Diamond, and J.W. Mayer, Copper deposition and thermal stability issues in Cu-based metallization for ULSI technology, Mater. Sci. Rep., 9, 1 (1992) and also A. Correia, D. Ballutaud, A. Bountry-Forveille, and J-L. Maurice, Effects of copper and oxygen precipitation during thermal oxidation of silicon, J. Appl. Phys., 78, 6543 (1995)

    Google Scholar 

  4. K.M. Crosby, Grain boundary diffusion in copper under tensile stress, Cond. Matter., 1, 65 (2003)

    Google Scholar 

  5. K. Masu et al., Jpn. J. Appl. Phys., 30 (1), 3642 (1991) and also I. Kaur, Y. Mishin, and W. Gust, Fundamentals of grain and interphase boundary diffusion, Wiley, Chichester, (1995) and also K.F. Vaz et al., Microelectron. J., 34 (5/8), 599 (2003)

    Google Scholar 

  6. J.H. Choy and K.L. Kavanagh, Appl. Phys. Lett., 84 (25), 5201 (2004)

    Article  Google Scholar 

  7. E.T. Ogawa, K.D. Lee, V.A. Blaschke, and P.S. Ho, Electromigration reliability issues in dual damascene Cu interconnections, IEEE Trans. Reliab., 51 (4), 403 (2002)

    Google Scholar 

  8. J.R. Lyod and J.J. Clement, Thin Solid Films, 262, 135 (1995) and also M.J. Kerr and A. Cuevas, Recombination at the interface between silicon and stoichiometric plasma silicon nitride, Semicond. Sci. Technol., 17, 166 (2002)

    Google Scholar 

  9. C.S. Hau-Riege and C.V. Thompson, Appl. Phys. Lett., 78, 3451 (2001)

    Google Scholar 

  10. C.K. Hu, R. Rosenberg, and K.Y. Lee, Appl. Phys. Lett., 74, 2945 (1999)

    Google Scholar 

  11. M. Nathan et al., Appl. Phys. Lett., 77, 3355 (2000) and also M.R. Sorensen and A.F. Voter, J. Chem. Phys., 112, 9599 (2000)

    Google Scholar 

  12. J.H. Choy and K.L. Kavanagh, Appl. Phys. Lett., 84 (25), 5201 (2004)

    Article  Google Scholar 

  13. T. Laurila, K. Zeng, J.K. Kivilathi, J. Molarius, and I. Suni, Chemical stability of Ta diffusion barrier between Cu and Si, Superfices y vacio, 9, 199 (Dec. 1999) and also E.T. Ogawa, K.D. Lee, V.A. Blaschke, and P.S. Ho, Electromigration reliability issues in dual damascene Cu interconnections, IEEE Trans. Reliab., 51 (4), 403 (2002)

    Google Scholar 

  14. A.E. Kaloyeros, X. Chen, S. Lane, and H.I. Frisch, Tantalum diffusion barrier grown by inorganic plasma promoted chemical vapor deposition, JMR, 15 (12), 2800 (2000) and M.A. Meyer, Ph.D. Thesis, Brandenburg Univ. of Technol., Cottbus, Germany, (2007)

    Google Scholar 

  15. J.D. Torre et al., Microstructure of thin tantalum films sputtered onto inclined substrate: Experiments and atomistic simulations, J. Appl. Phys., 94 (1), 263 (2003) and also P. Klaver and B. Thijsse, Thin Solid Films, 2, 467 (1968)

    Google Scholar 

  16. H. Mehr and L. Bornstein (eds.), Diffusion in solid metals and alloys, Gr. III, Vol. 26, Springer, Berlin, (1990)

    Google Scholar 

  17. K. Holloway and P.M. Fryer, Appl. Phys. Lett., 57, 1736 (1990) and T. Oku et al., Appl. Surf. Sci., 99, 265 (1996) and C.K. Hu, L. Gignac, and R. Rosenberg, Microelectron. Relib., 46, 213 (2006)

    Google Scholar 

  18. D.E. Kramer, A.A. Volinsky, N.R. Moody, and W.W. Gerberich, JMR, 16 (11), 3150 (2001) and D. Chocyk et al., Evolution of stress and structure in Cu thin films, Cryst. Res. Technol., 40 (4/5), 509 (2005)

    Google Scholar 

  19. W.W. Gerberich, A.A. Volinsky, N.I. Tymiak, N.R. Moody, Mater. Res. Soc. Symp., 594, 351 (2000) and L. Lu, N.R. Tao, L.B. Wang, B.J. Ding, and K. Lu, Grain growth and strain release in nanocrystalline copper, J. Appl. Phys., 89 (11) 6408 (2001) and also M.A. Meyer and E. Zschek, Proc. 9th Int. Workshop on stress induced phenomena and metallization, AIP Conf. Kyoto, Japan, 4–7 April (2007)

    Google Scholar 

  20. M.J. Buehler, A.Hartmaier, and H. Gao, Hierachical multi-scale modeling of plasticity of sub-micron thin metal films, Model. Simul. Mater. Sci. Eng., 12, S391 (2004) and also K. Chen, A. Fan, and R. Reif, J. Electron. Mat., 30, 331 (2001)

    Google Scholar 

  21. H. Gao, L. Zhang, W.D. Nix, C.V. Thompson, and E. Artz, Crack like grain boundary (GB) diffusion wedges in thin metal films, Acta. Mater., 47, 2865 (1999) and K. Mohseni, A. Shakouri, R.J. Ram, and M.C. Abraham, Phys. Fluids, 17, 100602 (2005)

    Google Scholar 

  22. B.G. Wills and D.V. Lang, Oxidation mechanism of ionic transport of copper in SiO2 dielectrics, Thin Solid Films, 467, 284 (2004) and also V.S.C. Len, R.E. Hurley, N. McCuster, D.W. McNeil, B.M. Armstrong, and H.S Gamble, Solid State Electron., 43, 1045 (1999)

    Google Scholar 

  23. J.Y. Kwon, K.S. Kim, Y.C. Joo, and K.B. Kim, Simulation of the copper diffusion profile in SiO2 during bias temperature stress (BTS) test, Jpn. J. Appl. Phys., 41, L99 (2002) and A. Mineji et al., IEEE Int. Workshop Jn. Technol. Paper, S 4–8 (2007)

    Google Scholar 

  24. J.D. McBrayer, Ph.D. dissertation, Stanford University, 1983 and P.G. Sverdrup et al., Int. conf. on simulation and semicond. process & devices (SISPAD), p. 54, Sept 6–8, Seattle, WA, (2000)

    Google Scholar 

  25. J.C. Lin and C. Leez, Electrochem. solid state Lett., 2 (4), 1812 (1999)

    Article  Google Scholar 

  26. A.L.S. Loke, Ph.D. Dissertation, Stanford university, (1999)

    Google Scholar 

  27. H. Miyazaki, K. Hinode, Y. Homma, and N. Kobayshi, Jpn. J. Appl. Phys., 35, 1685 (1996)

    Article  Google Scholar 

  28. V. Hugo, The house in the RUE plumet, Les miserables, Vol. 4, Chapter 235, Book 3rd ed., Signet, New York, (1987)

    Google Scholar 

  29. D. Gan, P.S. Ho, R. Haung, J. Len, J. Maiz, and T. Scherban, Isothermal stress relaxation in electroplated Cu-films, J. Appl. Phys., 97, 103531 (2005)

    Article  Google Scholar 

  30. A.A. Volinski et al., Residual stress and microstructure of electroplated Cu fil on different barrier layers, MRS. Symp., 695, L1.11.1 (2002) and also J.J. Tommy, S. Hymes, and S.P. Murarka, Stress effects in thermal cycling copper thin films, Appl. Phys. Lett., 66, 2074 (1995)

    Google Scholar 

  31. M. Sorensen, Y. Mishin, and A. Voter, Phys. Rev., B-62, 3658 (2000)

    Article  Google Scholar 

  32. H. Van Swygenhoven, D. Farakas, and A. Caro, Phys. Rev., B-62, 831 (2000)

    Article  Google Scholar 

  33. K.M. Crosby, Grain boundary diffusion in copper under tensile stress, Cond. Mater., 1, 065 (July 2, 2003)and also Y. Mishin, Philos. Mag., A72, 1589 (1995) and M. Hauschudtet al., J. Appl. Phys., 101 (4), 043523 (2007)

    Google Scholar 

  34. L.I. Maissel and R. Glang, Handbook of thin film technology, McGraw Hill, New York, (1983)

    Google Scholar 

  35. J. Thibault, J.L. Rouviere, and A. Bourret, Grain boundaries in semiconductors, In Hand book of semiconductor technology, K.A. Jackson and W. Schroter, Vol. I, Wiley and VCH, New York, (2000)

    Google Scholar 

  36. W.T. Read, Dislocations in crystals, McGraw Hill, New York, (1953)

    MATH  Google Scholar 

  37. J.W. Mayer and S.S. Lau, Electronic materials science for integrated circuits in Si and GaAs, p. 172, Mcmillan Pub., New York, (1990)

    Google Scholar 

  38. J. Nucci, R. Keller, D.P. Field, and Y.S. Diamond, Appl. Phys. Lett., 7 (10), 1242 (1999)

    Google Scholar 

  39. L. Vanasupa, Y.C. Joo, P.R. Besser, and S. Pramanick, J. Appl. Phys., 85 (5), 2583 (1999)

    Article  Google Scholar 

  40. B.L. Sharma, Diffusion in semiconductors, pp. 87–126, Trans. Tech. Pub., Germany, (1970)

    Google Scholar 

  41. B.I. Boltaks, Diffusion in semiconductors, D. Shaw (ed.), Atomic diffusion in semiconductors, Academic Press, New York, (1973)

    Google Scholar 

  42. J.C. Tsai, Diffusion, In VLSI Technology, (ed.) S.M. Sze, McGraw Hill, New York, Chapter 5, (1983)

  43. M. Nathan et al., Appl. Phys. Lett., 77, 3355 (2000) and also D. Gupta and P.S. Ho, Some fundamental aspect of diffusion in diffusion phenomena in thin films and microelectronic materials, Noyes Pub., Park Ridge, (1988)

    Google Scholar 

  44. J.H. Choy and K.L. Kavanagh, Appl. Phys. Lett., 84 (25), 5201 (2004)

    Google Scholar 

  45. A.P. Sutton and V. Viter, Phil. Trans. Roy. Soc. London, A-301, 1–68 (1983)

    Google Scholar 

  46. A.P. Sutton and R.W. Ballufi, Interfaces in crystalline Mats., Clarendon Press, London, (1995)

    Google Scholar 

  47. A.L. Kolesnikova, I.A. Ovid’ko, and A.B. Reizs, J. Mater. Proc. Manuf. Sci., 7, 5 (1999) and also L. Kuipers, M.S. Hoogeman, and J.W.M. Frenken, Phys. Rev. Lett., 71, 3517 (1993)

    Google Scholar 

  48. R.A. Masumura and I.A. Ovid’ko, Enhanced diffusion near amorphous grain boundaries in nano crystalline and polycrystalline solids, Mater. Phys. Mech., 1, 31–38 (2000)

    Google Scholar 

  49. N.F. Mott and E.A. Davis, Electronic processes in non-crystalline materials, Clarendon Press, Oxford, London, (1979)

    Google Scholar 

  50. U.F. Mayer and G. Simonett, On diffusion-induced grain boundary motion, Contemporary mathematics Am. Math. Soc., 238, 231–240 (1999) and A. Gouldstone et al., Acta Mater., 55, 4015 (2007)

    Google Scholar 

  51. J.W. Chan, P.C. Fife, and O. Penrose, A phase field model for diffusion induced grain boundary motion, Acta Mater., 45.(10), 4397–4413 (1997)

    Article  Google Scholar 

  52. R. Gastel, E. Somfai, S.B. Albada, W. Saarloos, and J.W. Frenken, Nothing moves a surface: Vacancy mediated surface diffusion, Phys. Rev. Lett., 86 (8), 1562 (2001)

    Article  Google Scholar 

  53. L. Hansen, P. Stoltze, K.W. Jacobsen, and J.K. Norskov, Phys. Rev., B-44, 6523 (1991) and A. Gouldstone, K.J. Vanviet, and S. Suresh, Nature, 411, 656 (2001)

    Google Scholar 

  54. T.Flores, S. Junghans, and M. Wuttig, Atomic mechanism for the diffusion of Mn atoms incorporated in the Cu(100) surface: an STM study, Surf. Sci., 371, 1 (1997)

    Article  Google Scholar 

  55. J.W. Mayer and S.S. Lau, Electronic materials science, Chapter 7, p. 208, Mcmillan Pub., New York, (1990) and also A.E. Kaloyeros, X. Chen, S. Lane, H.L. Frisch, and B. Arkles, JMR, 15 (12), 2800 (2000) and J. Boreland et al., Int. Workshop on Jn. Transistors, S4–7, 69 (2007)

  56. K. Holloway, P.M. Freyer, and C. Cabral, Jr., J. Appl. Phys., 71, 5433 (1992) and C. Nieh et al., IEEE EDL, 27 (12), 969 (2006)

    Google Scholar 

  57. K. Nagas, J.B. Neaton, and N.W. Asheroft, Phys. Rev., B68, 125403 (2003) and also S.M. Sze, Physics of Semiconductor Devices, 2nd ed., Wiley, NY (1981) and B.G. wills and D.V. Long, Oxidation mechanism of ionic transport of copper in SiO2 dielectric, Thin Solid Films, 467, 284 (2004) and O. Muscato, Physica A, 365 (2), 409 (2006)

    Google Scholar 

  58. F. Lanckmans, B, Brijs, and K. Maex, The role of H in Cu+ drift diffusion in plasma deposited a-SiC: H, J. Phys. Cond. Matter., 14, 3565 (2002) and also A.E. Kaloyeros, X. Chen, S. Lane, H.L. Frisch, and B. Arkles, JMR, 15 (12), 2800 (2000)

    Google Scholar 

  59. Y. Li, J. Hunter, and T.J. Tate, SIMS study of Cu trapping and migration in low-K dielectric film, Appl. Surf. Sci., 231, 791 (2004)

    Article  Google Scholar 

  60. W. Li. Sung and Bi-S. Chiou, J. Electron. Mater., 31 (5), 472 (2002)

    Article  Google Scholar 

  61. K. Nago, J.B. Neaton, and N.W. Ashcroft, Phys. Rev. B, 68, 125403 (2003)

    Article  Google Scholar 

  62. K.N. Tu, In Thin film interdiffusion &reactions, J.M. Potate, K.N. Tu, and J.W. Mayer (eds.), pp. 360–403, Wiley, New York, (1978), and also E.T. Ogawa, K.D. Lee, V.A. Blaschke, and P.S. Ho, Electromigration reliability issues in dual damascene Cu interconnections, IEEE Trans. Reliab., 51 (4), 403 (2002)

    Google Scholar 

  63. R. Hubner et al., Void formation in the Cu layer during thermal treatment of SiNx/Cu/Ta73Si27/SiO2/Si systems, Cryst. Res. Technol., 40 (1/2), 135 (2005)

    Google Scholar 

  64. K.N. Tu, J. Appl. Phys., 94, 5451 (2003)

    Article  Google Scholar 

  65. H.B. Nie et al., Appl. Phys., A-73, 229 (2002)

    Google Scholar 

  66. S.P. Hau-Riege et al., Mat. Res. Symp. Proc., 612, D2.2.1 (2000) and also A.A. Istratov and E.R. Weber, Electrical properties and recombination activity of copper, nickel and cobalt in silicon, Appl. Phys., A66, 123 (1998)

    Google Scholar 

  67. J. Gambino et al., Effect of CoWP cap thickness on via yield and reliability for Cu-interconnect with CoWP-only cap process, IITC, IEEE, (2005) and also A.E. Kaloyeros et al., J. Mater. Res. Soc., 15 (12), 2800 (2000)

    Google Scholar 

  68. A.A. Volinsky, J.B. Vella, and W.W. Gerberich, Thin Solid Films, 429 (1/2), 201 (2002)

    Google Scholar 

  69. D. Cramer et al., Acta Mater., 47, 333 (1999)

    Article  Google Scholar 

  70. Y. Liu and A.H.W. Ngan, Scripta Mater., 44, 237 (2001)

    Article  Google Scholar 

  71. C.S. Smith, J. Inst. Metals, 40, 359–371 (1928)

    Google Scholar 

  72. S. Arrhenius and A. Westgren, Z. Physik. Chem., B-14, 66–79 (1931)

    Google Scholar 

  73. K. Schubert and G. Brandauer, Z. Metalkunde, 43, 267–268 (1952) and also M. Seibt, H. Hedeman, A.A. Istratov, F. Riedel, A. Sattler, and W. Schroter, Structural and electrical properties of metal silicide precipitates in silicon, Phys. Status Solidi A 171, 301 (1999)

    Google Scholar 

  74. P.M. Hansen, Constitution of binary alloys, 2nd ed., p. 632, McGraw Hill, New York, (1958)

    Google Scholar 

  75. A. Loke, C. Ryu, C.P. Yue, J.S. Cho, and S.S. Wong, Kinetics of copper drift in PECVD dielectrics, IEEE Trans. Electron. Device Lett., 17 (2), D549–551 (1996) and also S. Kim et al., Electrochem. Solid State Lett., 10 (6), 193 (2007)

    Google Scholar 

  76. R.S. Muller and T.I. Kamins, Device electronics for integrated circuits, pp.11–14, Wiley, New York, (1977)

    Google Scholar 

  77. K.H.J. Buschow, R. Cahn, M. Flemings, B.I. Ilschner, E.J. Kramer, and S. Mahajan, Copper alloying, Encyclopedia of materials: Science and technology, Elsevier Science Ltd., Oxford, UK (2000)

    Google Scholar 

  78. M. Hansen, Constitution of binary alloys, p. 2000, McGraw Hill, New York, (1958)

    Google Scholar 

  79. F. Pawlek and K. Reichel, The effect of impurities on the electrical conductivity of copper, Z. Metallk, 47, 347–356 (1956) and also R. Hoelzl, K.J. Range, and L. Fabry, Modeling of copper gettering in p-and n-type silicon and in polysilicon, Appl. Phys., A75, 525 (2002)

    Google Scholar 

  80. A.G. Milnes, Deep level impurities in semiconductors, Wiley, New York, (1973)

    Google Scholar 

  81. R.N. Hall and J.H. Racette, Diffusion and solubility of copper in extrinsic and intrinsic germanium, Silicon and gallium arsenide, J. Appl. Phys., 35 (3), 379–385 (1964)

    Article  Google Scholar 

  82. A.L.P. Rotondaro et al., J. Electrochem. Soc., 143 (9), 3014–3018 (1996)

    Article  Google Scholar 

  83. K. Takeda, K. Hinode, I. Oodake, N. Oohashi, and H. Yamaguchi, Enhanced dielectric breakdown life time of the copper silicon nitride/ silicon dioxide structures, IEEE RPS, Proc. p. 36, (1998)

    Google Scholar 

  84. M. Vogt, M. Kachel, M. Plotner, and K. Drescher, Dielectric barriers for Cu-metallization systems, Microelectron. Eng., 37/38, 181 (1997)

    Article  Google Scholar 

  85. S.K. Rha, S.Y. Lee, W.J. Lee, Y.S. Hwang, C.O. Park, D.W. Kim, Y.S. Lee, and C.N. Whang, J. Vac. Sci. Technol., B16, 2019 (1998) and also A.A. Istratov and E.R. Weber, Physics of copper in silicon, J. Electrochem. Soc., 149 (1), G-21–G-30 (2002)

    Google Scholar 

  86. C.P. Flynn, Point defects and diffusion, Clarendon Press, Oxford, London, (1972)

    Google Scholar 

  87. H.F. Wolf, Semiconductors, Chapter 2, p. 147, Wiley, New York, (1971)

    Google Scholar 

  88. I. Kaur, Y. Mishin, and G. Ggust, Fundamentals of grain and interphase boundary diffusion, Wiley, Chichester, (1995)

    Google Scholar 

  89. M.R. Sorensen, Y. Mishin, and A.F. Voter, Diffusion mechanism in Cu-grain boundaries, Phys. Rev. B, 62 (6), 3658–3673 (2000)

    Article  Google Scholar 

  90. K.N. Tu, Recent advances on electromigration in very large scale integration of interconnects, J. Appl. Phys., 94 (9), 5451 (2003)

    Article  Google Scholar 

  91. J.D. Wiley, J.H. Perepezko, J.E. Nordman, and K.J. Guo, IEEE Trans. Ind. Electron., 29, 154 (1982) and A. Horsfall, A. Curthbertsen, S. Bull, and A.O. Neill, Microelectron. Eng., 84 (11), 2486 (2007)

    Google Scholar 

  92. A.L. Greer, In Diffusion phenomena in thin films, (ed.) D. Gupta and H.S. Ho, Noyes Pub. Park Ridge, N.J., (1987)

    Google Scholar 

  93. M.A. Nicolet and M. Bartur, J. Vac. Sci. Technol., 19, 786 (1981)

    Article  Google Scholar 

  94. G.S. Chen and S.C. Huang, Intrinsic properties and barrier behaviors of thin films of sputtered deposited single layered and alternate layered tantalum nitrides, J. Electrochem. Soc., 148 (8), G-424 (2001)

    Article  Google Scholar 

  95. A.A. Istratov and E.R Weber, In Copper interconnects, New contact metallurgies /structures, and low-K dielectrics, G.S. Mathad et al. (eds.), p. 90, The Electrochem. Soc. Pub. Pennington, NJ, (2001)

    Google Scholar 

  96. J. Stake and W. Brenig (eds.), Amorphous and liquid semiconductors, Vol. 1 and 2, Taylor and Francis, London, (1974) and H.Y. Wong, N.F.M. Shukor, and N. Amin, Microelectron. J., 38 (6/7), 777 (June 2007)

    Google Scholar 

  97. J.F. Wagner and C.W. Wilmsen, The deposited insulator/III-V semiconductor interface, In C.W. Wilmsen (ed.), Physics and Chemistry of II-V semiconductor interface, Plenum press, New York, (1985)

    Google Scholar 

  98. L.J. Brillson, Advances in understanding metal semiconductor interfaces by surface science technique, J. Phys. Chem. Solids, 44, 703 (1983)

    Article  Google Scholar 

  99. S.P. Kowalcxyk, J.R. Waldrop and R.W. Grant, Interfacial chemical reactivity of metal contacts with thin native oxides of GaAs, J. Vac. Sci. Technol., 19, 611 (1981)

    Article  Google Scholar 

  100. National Center for Manufacturing Sciences (NCMS), The lead free solder project, NCMS Rept. 0401 RE 96 (1997)

    Google Scholar 

  101. M.J. Rost, D.A. Quist, and J.W.M. Frenken, Phys. Rev. Lett., 91 (2), 026101-1 (2003)

    Article  Google Scholar 

  102. S. Balakumar et al., Effect of stress on the properties of Cu-lines in Cu-interconnects, J. Electrochem. Soc., 7 (4), G-68 (2004)

    Google Scholar 

  103. J.D. Torre et al., Microstructure of thin films sputtered into inclined substrates, experiments and atomistic simulation, J. Appl. Phys., 94, 263 (2003)

    Google Scholar 

  104. A.G. Dirks and H.J. Leamy, Thin Solid Films, 47, 219 (1997)

    Article  Google Scholar 

  105. D.E. Hanson, J.D. Kress, A.F. Voter, and X.Y. Liu, Phys. Rev. B, 60 (11), 723 (1999)

    Google Scholar 

  106. J. Hopwood (ed.), Ionized Physical Vapor Deposition, Academic Press, San Diego, CA, (2000) and Y Yang, M. Wong, and M. Kushner, IEEE IITC, Burlingame, CA, (April 2008)

    Google Scholar 

  107. D.R.F. West and N. Saunders, Ternary phase diagrams in material science, 3rd. ed., Maney Pub., London, (2002)

    Google Scholar 

  108. S.P. Murarka and D. Fraser, J. Appl. Phys., 51, 1593 (1980)

    Article  Google Scholar 

  109. C.A. Chang, J. Electrochem. Soc., 127, 1331 (1980)

    Article  Google Scholar 

  110. C.A. Chang, Appl. Phys. Letts., 38, 860 (1981)

    Article  Google Scholar 

  111. C.A. Chang, Reduced Cu-Cr mixing and reduced Pt-Cu interdiffusion by oxygen in Cu/Cr and Pt/Cu/Cr thin films, J. Appl. Phys., 53 (10), 7092 (1982)

    Article  Google Scholar 

  112. J.W. Mayer and S.S. Lau (eds.), Electronic materials for integrated circuits, p. 333, Mcmillan Pub., New York, (1990)

    Google Scholar 

  113. M.A. Nicolet, I. Suni, and M. Finetti, Solid State Technol., 26, 129 (Dec. 1983) and also F.W. Saris et al., Proc. MRS., 54, 81 (1986)

    Google Scholar 

  114. P.M. Hansen, Constitution of binary alloys, McGraw Hill, New York, (1958)

    Google Scholar 

  115. F.J. Humphreys, Y. Haung, I. Brough, and C. Harris, J. Micrelectron. Mater., 195, 212 (1999)

    Google Scholar 

  116. T. Muppidi, D.P. Field, J.E. Sanchez, and C. Woo, Barrier layer geometry and alloying effects on the microstructure and texture of electroplated copper thin films and damascene films, Thin Solid Films, 471, 63 (2005)

    Article  Google Scholar 

  117. Z.C. Wu et al., J. Electrochem. Soc., 146, 11 (1999)

    Google Scholar 

  118. K.M. Crossby and R.M. Bradley, Phys. Rev., E-59, R-2542 (1999)

    Google Scholar 

  119. C.V. Thompson et al., J. Appl. Phys., 67, 4099 (1990)

    Article  Google Scholar 

  120. J.A. Floro et al., MRS. Bull., 27, 19 (2002) and also J.W. Christian, The theory of transformations in metals and alloys, Part 1, 2nd ed. Pargamon Press, Oxford, London, (1975)

    Google Scholar 

  121. C.V. Thompson, Annu. Rev. Mater. Sci., 20, 245 (1990)

    Article  Google Scholar 

  122. W.W. Mulins, J. App. Phys., 28, 333 (1957)

    Article  Google Scholar 

  123. W.W. Mulins, Acta Metall., 6, 414 (1958)

    Article  Google Scholar 

  124. R. Dannenberg et al., Thin Solid Films, 370, 54 (2000)

    Article  Google Scholar 

  125. R.D. Doherty et al., Mater. Sci. Eng., A 238, 219 (1997)

    Article  Google Scholar 

  126. M.J. Rost, D.A. Quist, and J.W.M. Frenken, Phys. Rev. Letts., 91 (2), 02601-1 (2003)

    Article  Google Scholar 

  127. Q.T. Jiang et al., Analysis of copper grains in damascene trenches after rapid thermal processing, J. Electron. Mater., 31 (2), (2002)

    Google Scholar 

  128. J.F. Whitacre, Z.V. Rek, J.C. Bilello, and S.M. Yalisove, Surface roughness and in plane texturing in sputtered films, J. Appl. Phys., 84 (3), 1346 (1998)

    Article  Google Scholar 

  129. L. Liu, Y. Wang, and H. Gong, J. Appl. Phys., 90, 416 (2001) and J.H. An and P.J. Ferreira, Appl. Phys. Lett., 80, 151919 (2006)

    Google Scholar 

  130. M. Stavrev, D. Fisher, F. Praessler, C. Wenzel, and K. Drescher, J. Vac. Sci. Technol., A 17, 993 (1999)

    Article  Google Scholar 

  131. F.A. Baicchi, N. Lifshitz, T. Sheng, and S.P. Murarka, J. Appl. Phys., 64, 6490 (1988)

    Article  Google Scholar 

  132. A.A. Istratov and E.R. Weber, Appl. Phys. A, 66, 123 (1998), and (iii) degradation of the lifetime W.B. Henley, D.A. Ramappa, and L. Jastreszbski, Detection of copper contamination in silicon by surface photovoltage diffusion length measurements, Appl. Phys. Letts., 74, 278–280 (1999)

    Google Scholar 

  133. T. Heiser, A.A. Istratov, C. Flink, and E.R. Weber, Electrical characterization of copper related defect reactions in silicon, Mater. Sci. Eng., B58, 149 (1999)

    Article  Google Scholar 

  134. A.A. Istratov, C. Flink, H. Hieslmair, E.R. Weber, and T. Heiser, Intrinsic diffusion coefficient of copper in silicon, Phys. Rev. Lett., 81, 1243 (1998)

    Article  Google Scholar 

  135. L. Arnaud et al., Microelectron. Reliab., 40, 77 (2000)

    Article  Google Scholar 

  136. S. Ragojevic et al., Interactions between silica xerogel and tantalum, J. Vac. Sci. Technol., B 19 (2), 354 (2001) and G.X. Cao and X. Chen, Phys. Rev. B, 73 (15), 155435 (2006)

    Google Scholar 

  137. M.D. Thouless, J. Vac. Sci. Technol., A 9 (4), 2570 (1991) and A. Gouldstone et al., Acta Mater., 55, 4015 (2007)

    Google Scholar 

  138. J.M.E. Harper and K.P. Rodbell, J. Vac. Sci. Technol., B15, 763 (1997)

    Article  Google Scholar 

  139. M.A. Nicolet, Thin Solid Films, 52, 415 (1978) and S. Donovan and M.J. Moll, IEEE workshop on Microelectron. Dev. Boise, Idaho, (2006)

    Google Scholar 

  140. L.P. Buchwalter and J. Adhes, Sci. Technol., 9, 97 (1995)

    Google Scholar 

  141. D.S. Campbell, Mechanical properties of thin films, In L.I. Maissel and R. Glang (eds.), Handbook of thin film technology, pp. 12–16, McGraw Hill, New York, (1983)

    Google Scholar 

  142. B.Y. Tsui, J. Yang, and T.K. Ku, Micoelctron. Reliab., 41, 1889 (1999) and A. Sakata et al., IEEE IITC, (2006)

    Google Scholar 

  143. W.F. Wu et al., Electrochem. Solid State Lett., 2, 342 (1999)

    Article  Google Scholar 

  144. W.F. Wu, K.L. Ou, C.P. Chou, and J.J. Hsu, PECVD-Ti/TiNx barrier with multilayered amorphous structure and high thermal stability for copper metallization, Electrochem. Solid state Lett., 6 (2), G27–G29 (2003)

    Article  Google Scholar 

  145. P. Gallais, J.J. Hantzpergue, and J.C. Remy, Thin Solid Films, 165, 227 (1988) and also H. Kim et al., Material consideration on Ta, Mo, Ru, and Os as glue layer for ultra large scale integration Cu interconnects, Jap. J. Appl. Phys., 45 (4A), 2497 (2006)

    Google Scholar 

  146. S.P. Murarka, Silicides for VLSI applications, Academic, New York, (1983) and J. gambino, IEEE IITC, Proc., p. 22, (2007)

    Google Scholar 

  147. P.T. Liu, T.C. Chang, S.T. Yan, C.H. Li, and S.M. Sze, Electrical transport phenomena in aromatic hydrocarbon polymers, J. Electrochem. Soc., 150 (2), F-7 (2003) and C-C Huang, J-L Huang, Y.L Wang, and J.J. Chang, J. Vac. Sci. Technol., B, 24 (6), 2621 (2006)

    Google Scholar 

  148. A.S. Loke et al., IEEE Trans. Electron Dev., 46, 2178 (1999) and A. Sakata, 9th Int. Workshop on Stress induced phenomena in metallization, 4th April, Kyoto, Japan, (2007)

    Google Scholar 

  149. K.P. Yap et al., Integrity of copper tantalum nitride metallization under different ambient conditions, J. Electrochem. Soc., 147 (6), 2312–2318 (2000)

    Article  Google Scholar 

  150. T. Laurila et al., TaC as a diffusion barrier between Si and Cu, J. Appl. Phys., 91, 5391 (2002)

    Article  Google Scholar 

  151. G.B. Alers et al., J. Appl. Phys. Letts., 73 (11), 1517 (1998)

    Google Scholar 

  152. M. Yamaguchi, Thermal nitridation of InP, Jpn. J. Appl. Phys., 19, L401 (1980)

    Article  Google Scholar 

  153. G.E. Miller, Tantalum and Niobium, Academic press, London, (1959)

    Google Scholar 

  154. R. Pretorius, J. Harris, and M.A. Nicolett, Solid State Electron., 21, 667 (1978)

    Article  Google Scholar 

  155. R. Hubner et al., Void formation in the Cu-layer during thermal treatment of SiNx/Cu/Ta73N27/SiO2/Si systems, Cryst. Res. Technol., 40 (1/2), 135 (2005)

    Article  Google Scholar 

  156. D.Y. Kim, Ph D. Thesis on study on reliability of VLSI interconnection structures, (Dec. 2003) and also S.R. Gess, H. Donohue, K. Buchanan, N. Rimmer, and P. Rich II, Microelectron. Eng., 64, 307 (2002) and H. Ono, T. Nakano and T. Ohta II, Appl. Phys. Lett., 64, 1511 (1994)

    Google Scholar 

  157. W.C. Johnson, P.W. Voorhees, and D.F. Zupon, The effect of elastic pressure on the kinetics Oswald ripening: Two particle problem, Met. Trans. A (Physical Met. And Met. Sci.), 20A (7), 1175 (1989)

    Google Scholar 

  158. L. Pauling, The nature of chemical bond, 3rd ed. Cornel Univ. Pub., New York, (1960)

    MATH  Google Scholar 

  159. C.A. Chang, Reduced Cu-Cr mixing and reduced Pt-Cu interdiffusion by oxygen in Cu/Cr and Pt/Cu/Cr thin films, J. Appl. Phys., 53 (10), 7092 (1982) and also F. Lanckmans, B. Brijis, and K. Maex, The role of H in Cu+ drift diffusion in plasma deposited a-SiC:H, J. Phys. Cond. Mater., 14, 3665 (2002)

    Google Scholar 

  160. H.B. Nie et al., Structural and electrical properties of TaN thin films fabricated by using RF magnetron sputtering, J. App. Phys., A-73, 229 (2001) and B. Predel, Phase equilibria, Crytallographic, and thermodynamic data, electronic Mat. and Semicond., O. Madelung (ed.), Springer Verlag, AG, Germany (2006)

    Google Scholar 

  161. M. Takeyma, A. Noya, T. Sasse, and A. Ohta, J. Vac. Sci. Technol., B14, 674 (1996) and also A.E. Kaloyeros, X. Chen, S. Lane, and H.L. Frische, Tantalum diffusion barrier grown by inorganic plasma promoted chemical vapor deposition, J. Mater. Res., 15 (12), 2800 (2000) and M. Stavrev et al., J. Vac. Sci. Technol., A17 (3), 993 (1999)

    Google Scholar 

  162. 9th Europhysical Conf. On Defects in insulating materials, Wroclan, Poland, (June30–July5, 2002) and J. Gambine et al., IEEE Proc. On IITC, p. 22, (2007)

    Google Scholar 

  163. E. Weiser et al., Thin Solid Films, 410, 121 (2002) and T. Murata et al., Jap. J. Appl. Phys., 47, 2488 (2008)

    Google Scholar 

  164. D. Edelstein et al., Int. Interconnect. Tech. Conf., San Fransisco, CA, (June 4–6, 2001) and also L.I. Maissel and R. Glang, Hand book of thin film technology, Chapter 2, 4, 5 and 19, McGraw Hill, New York, (1983)

    Google Scholar 

  165. D. Fischer et al., Surf. Interface Anal., 25, 522 (1997) and H. Sakai et al., Adv. Metal. Conf., Univ. of Tokyo, Japan, (Sept. 26, 2006)

    Google Scholar 

  166. Y.S. Diamond, (Tutorial), On advanced matellization conference (AMC) San Diego, CA, (Oct. 3–5, 2000)

    Google Scholar 

  167. G. Beyer et al., Development of sub-100 nm ALD barrier for Cu-low-K interconnects, Microelectron. Eng., 64, 233 (2000)

    Article  Google Scholar 

  168. C.W. Chang, B.C. Regan, W. Mickelson, R.O. Ritche and A. Zettl, Probing structural phase transitions of crystalline C60 resistivity measurements of metal film over layers, Solid State Commun., 128, 359 (2003) and S.O. Gurrum, Ph.D. Thesis, G.W. Woodruff, School of mechanical engineering, Gerogia Tech., (May 2006)

    Google Scholar 

  169. C. Wen et al., App. Phys. Lett., 61, 2162 (1992) and also K. Ramkrishna, M. Gall, P. Justison, and H. Kawasaki, Prediction of maximum allowed rms currents for electromigration design guidelines, Proc 7th Int. Workshop on stress induced phenomena in metallization, Vol. 741, pp. 156–164, Melville, New York, AIP, (2004)

    Google Scholar 

  170. M.M. Yovanovich, Theory and applications of construction and spreading resistance concepts for microelectronic thermal management, cooling techniques for computers, W. Aung (ed.), p. 277, Hemisphere Pub., Boulder, CO (1991)

    Google Scholar 

  171. M.M. Yovanovich and V.W. Antonetti, Application of thermal contact resistance theory to electronic packages, Advance thermal modeling of electronic components and systems, Vol. 1, A. Barcohen and A. Kraus (eds.) , p. 79, Hemisphere Pub., Boulder, CO (1988)

    Google Scholar 

  172. J. Bonevich, D. Van Heerden, and D. Rossell, J. Mater. Res., 14, 1977 (1999)

    Article  Google Scholar 

  173. D. Josell, A. Cezairliyan, and J.E. Bonevich, Int. J. Thermophys., 19, 525 (1998) and also B. Clemens, G.L. Eesly, and C.A. Paddock, Phys. Rev., B-37, 1085 (1988)

    Google Scholar 

  174. J.Bonevich, D. Van Heerden, and D. Rosell, J. Mater. Res., 14, 1977 (1999)

    Article  Google Scholar 

  175. T.K. Gupta, Hand book of thick and thin film hybrid microelectronics, Wiley, NJ, (2003)

    Book  Google Scholar 

  176. M. Wittmer, J. Vac. Sci. Technol., A2 (2), 273 (Aprl./June 1983)

    Google Scholar 

  177. J.M. Potate, K.N. Tu, J.W. Mayer (eds.), Thin Film—inter diffusion and reactions, Wiley, New York, (1978)

    Google Scholar 

  178. L. Loth, Transition metal carbide and nitrides, Academic Press, New York, (1971) and also H.L. Goldschmidt, Interstitial alloys, Plenum Press, New York, (1967)

    Google Scholar 

  179. P. Schwartzkopf and R. Kieffer, Refractory hard metals, MacMillan, New York, (1967)

    Google Scholar 

  180. M.A. Nicolett, Solid State Technol., 26 (2), 129 (Dec. 1983) and also T. Laurila, Tantalum-based diffusion barriers for copper metallization, Ph.D. Thesis dissertation at Helsinki University of Tech., Helsinki, Finland, (Dec. 2001)

    Google Scholar 

  181. S. Braun, H. Mai, M. Ross, R. Scholz, and A., Leson, Mo/Si multilayers with different barrier layers for applications as extreme ultra violet mirrors, Jpn. J. Appl. Phys., 41 (6B), 4074 (2002)

    Google Scholar 

  182. H. Kattelus et al., Microelectronics Eng., 60, 97 (2002)

    Article  Google Scholar 

  183. M.A. Nicolet and P.H. Giauque, Microelectron. Eng., 55, 357 (2001)

    Article  Google Scholar 

  184. S. Ganguly, L. Chen, T. Levine, B. Zeng, and M. Chang, Development of tungsten nitride film as a barrier layer for copper metallization, J. Vac. Sci. Technol., B 18, 237 (2000)

    Article  Google Scholar 

  185. J.S. Becker and R. Gordon, Diffusion barrier properties of tungsten nitride films grown by atomic layer deposition (ALD) from bis (tert-butylimido) bis (dimethylamido) tungsten and ammonia, J. Appl. Phys. Lett., 82 (4), 2239 (2003)

    Google Scholar 

  186. J.D. Torre et al., Microstructure of thin Ta-film sputtered onto inclined substrate, J. Appl. Phys., 94 (1), 263 (July 2003)

    Article  MathSciNet  Google Scholar 

  187. A.G. Dirks and H.J. Lemy, Thin Solid Films, 47, 219 (1997)

    Article  Google Scholar 

  188. K. Radhakrishanan, Ng. Geok, R. Gopalkrishnan, Mat. Sci. Eng., B-57, 224 (1999)

    Article  Google Scholar 

  189. J.C. Lin, G. Len, and C.Lee, J. Electrochem. Soc., 146, 1835 (1999)

    Article  Google Scholar 

  190. M.H. Tai, S.C. Sun, H.T. Chiu, C.E. Tsai, and S.H. Chung, Appl. Phys. Lett., 67, 1128 (1995)

    Article  Google Scholar 

  191. K. Baba, H. Hatada, K. Udoh, K. Yasuda, Nucl. Inst. Method. Phys. Res., B127/28, 841 (1997)

    Article  Google Scholar 

  192. F. Weiser et al., Improvement of Ta-based thin film barrier for Cu-metallization by ion implantation of nitrogen and oxygen, Adv. Met. Conf. (AMC), Orlando, FL, (Sept. 28–30 1999)

    Google Scholar 

  193. J.J. Boland and J.H. Weaver, A surface view of etching, Phys. Today, 51, 34 (1998) and T. Oku, E. Kwakami, M. Uekebo, K. Takahiro, S. Yamaguchi, and M. Murakami, Diffusion barrier property of TaN between Si and Cu, Appl. Surf. Sci., 99, 265 (1995)

    Google Scholar 

  194. H.O. Pierson, Hand book of refractory carbides and nitrides, Properties characteristics, processing and applications, Noyace, NJ., (1996) and N-H. Kim, S-Y. Kim, W-S. Lee, and E. Chang, Microelectron. Eng., 84 (11), 2663 (2007)

    Google Scholar 

  195. K. Hollowway and P.M. Fryer, Appl. Phys. Lett., 57, 1736 (1990)

    Article  Google Scholar 

  196. K.H. Min, K.C. Chun, and K.B. Kim, J. Vac. Sci. Technol., B14, 3263 (1996), and also J.C. Lin and C. Lee, Electrochem. Soild State Lett., 2, 181 (1999)

    Google Scholar 

  197. J.O. Olowilfe, J. Li, and J.W. Mayer, Appl. Phys. Lett., 58, 469 (1991)

    Article  Google Scholar 

  198. T. Laurila et al., Tantalum carbide and nitride diffusion barriers for Cu metallization, Microelectron. Eng., 60, 71 (2001)

    Article  Google Scholar 

  199. M. Hansen, Constitution of binary alloys, McGraw Hill, New York, (1958)

    Google Scholar 

  200. J. Chen and J.L. Wang, Diffusion barrier properties of sputtered TiB2 between Copper and Silicon, J. Electrochem. Soc., 147 (5), 1940–1944 (2000)

    Article  Google Scholar 

  201. H.Y. Tsai, S.C. Shun, and S.J. Wang, Characterization of sputtered tantalum carbide barrier layer for copper metallization, J. Electrochem. Soc., 147 (7), 2766–2772 (2000) and A. Sakata et al., IEEE Proc. On IITC, (2006)

    Google Scholar 

  202. J. Lu, H. Hsu, Q.H. Dixit, J. Luttmer, R. Havemann, and L. Magel, A novel process for fabricating conformal and stable TiN-based barrier films, J. Electrochem. Soc., 143, L279 (1996) and also F.A. Cotton and G. Wilkinson, Advanced Inorganic chemistry, p. 807, Wiley, New York, (1972)

    Google Scholar 

  203. D.S. Yoon, J.S. Roh, S.M. Lee, and H.K. Baik, Acta Matter. 51 (9), 2531–2538 (2003)

    Google Scholar 

  204. A. Westerheim et al., Integration of CVD titanium nitride for 0.25 μm contacts and vias, J. Vac. Sci. Technol., B-16 (5), 2729 (1998)

    Google Scholar 

  205. B. Weiller and S. Adamson, Effect of dimethylamine on CVD of TiN from Tetrakis (dimethylamido), J. Electrochem. Soc., 144, L40 (1997)

    Article  Google Scholar 

  206. K.E. Elers et al., Diffusion barrier deposition on a Cu-surface by ALD, Wiley Intersci., NJ (Jan 2002)

    Google Scholar 

  207. K. Tao, D. Mao, and J.P. Hopwood, J. Appl. Phys., 91 (7), 4040 (2002)

    Article  Google Scholar 

  208. S.J. Wang, H.Y. Tsai, and S.C. Sun, Characterization of sputtered titanium carbide as diffusion barrier for copper metallization, J. Electrochem. Soc., 148 (8), C563 (2001)

    Article  Google Scholar 

  209. B. Borovski, M. Krueger, E. Ganz, Phys. Rev., B59, 1598 (1999) and T. Zhong, V. Dubin, and M. Fang, US Patent, 7416980, (Aug. 2008)

    Google Scholar 

  210. B.S. Swartzentruber, Phys. Rev. Lett., 76, 459 (1996)

    Article  Google Scholar 

  211. D.T. Shaw, DOE 2003 Wire Development Workshop, St. Petersburg, FL, E.K. Broadbent, Tungsten and other refractory metals for VLSI applications, (ed.) R.S. Blewer, Materials Res. Soc. Pub. Pittsburgh, PA, (1986)

    Google Scholar 

  212. G. Beyer and M. Bavel, Using atomic layer deposition to prepare future generation copper diffusion barrier, Micro. 20 (9), 51–58 (2002)

    Google Scholar 

  213. Z. Li, R.G. Gordon, D.B. Farmer, Y. Lin, and J. Vlassak, Nucleation and adhesion of ALD copper on cobalt adhesion layers and tungsten nitride diffusion barriers, Electrochem. Solid-State lett., 8 (7), G182 (2005)

    Article  Google Scholar 

  214. J.R. Creighton and J.W. Rogers, Jr., Tungsten and other refractory metals for VLSI applications III, p. 63, Proc. Mater. Res. Soc., V.A. Wells (ed.), MRS Pub., Warrendale, PA (1988), and also K.N. Tu, Surface and interfacial energies of CoSi, and Si films, IBM. J. Res. Dev., 34 (6), 868 (1990)

    Google Scholar 

  215. M. Kleinschmit, M. Yeadon, and J.M. Gibson, Appl. Phys. Lett., 75 (21), 3288 (1999)

    Article  Google Scholar 

  216. S. Kal, I. Kasko, and H. Ryssell, J. Electron. Mater., 24 (10), 1349 (Oct. 1995)

    Google Scholar 

  217. N. Petrov, Y. Sverdlov, and Y.S. Diamond, Electrochemical study of the electroless of Co(P) and Co(W,P) alloys, J. Electrochem. Soc., 149 (4), C-187 (2002)

    Article  Google Scholar 

  218. A. Khon, M. Eizenberg, Y. Diamond, B. Israel, and Y. Sverdlov, J. Micrelectron. Eng., 55, 297 (2001)

    Article  Google Scholar 

  219. C.K. Hu et al., Reduced Cu-interface diffusion by CoWP surface coating, Microelectron. Eng. 70 (2/4), 506–511 (Nov. 2003) and J. Gambino et al., IPFA, p. 59, (2007)

    Google Scholar 

  220. M.J. Kobrinski, C.V. Thompson, and M.E. Gross, J. Appl. Phys., 89 (1), 91 (2001) and G.C. Schwartz et al. (eds.), Hand book of Semicond. Interconn., p. 326, CRC Press, Boca Raton, FL, (2006)

    Google Scholar 

  221. V.G. Weizer and N.S. Fatemi, Metal-silicon reaction rates—the effects of capping, J. Electron. Mater., 18 (1), 7 (1989)

    Article  Google Scholar 

  222. N. Petrov, Y. Sverdlov, and Y.S. Diamond, Electrochemical study of electroless deposition of Co (P) and Co (WP) alloys, J. Electrochem. Soc., 149 (4), C187 (2002) and K. Chattopadhya et al., IEEE Int. Reliab. Phys. Symp., p. 128, (2006)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Tapan Gupta .

Rights and permissions

Reprints and permissions

Copyright information

© 2009 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Gupta, T. (2009). Diffusion and Barrier Layers. In: Copper Interconnect Technology. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-0076-0_3

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-0076-0_3

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-0075-3

  • Online ISBN: 978-1-4419-0076-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics