Skip to main content

Exploiting Functional Properties of Boolean Functions for Optimal Multi-Level Design by Bi-Decomposition

  • Chapter
Artificial Intelligence in Logic Design

Part of the book series: Artificial Intelligence in Logic Design ((SECS,volume 766))

Abstract

This paper introduces the theory of bi-decomposition of Boolean functions. This approach optimally exploits functional properties of a Boolean function in order to find an associated multilevel circuit representation having a very short delay by using simple two input gates. The machine learning process is based on the Boolean Differential Calculus and is focused on the aim of detecting the profitable functional properties available for the Boolean function.

For clear understanding the bi-decomposition of completely specified Boolean functions is introduced first. Significantly better chance of success are given for bi-decomposition of incompletely specified Boolean functions, discussed secondly. The inclusion of the weak bidecomposition allows to prove the the completeness of the suggested decomposition method. The basic task for machine learning consists of determining the decomposition type and dedicated sets of variables. Lean on this knowledge a complete recursive design algorithm is suggested.

Experimental results over MCNC benchmarks show that the bi-decomposition outperforms SIS and other BDD-based decomposition methods in terms of area and delay of the resulting circuits with comparable CPU time.

By switching from the ON-set/OFF-set model of Boolean function lattices to their upperand lower-bound model a new view to the bi-decomposition arises. This new form of the bi-decomposition theory makes a comprehensible generalization of the bi-decomposition to multivalued function possible.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

Abbreviations

BDC:

Boolean Differential Calculus

BDD:

Binary Decision Diagram

ISF:

Incompletely Specified Function.

References

  • Akers, S. B. (1959). On a Theory of Boolean Functions. J. Soc. Ind. Appl. Math. 7(4).

    Google Scholar 

  • Ashenhurst, R. (1957). The Decomposition of Switching Functions. In International Symposium on the Theory of Switching Functions, 74–116.

    Google Scholar 

  • Bochmann, D., Dresig, F. & Steinbach, B. (1991). A New Decomposition Method for Multilevel Circuit Design. In European Conference on Design Automation, 374–377. Amsterdam, Holland.

    Chapter  Google Scholar 

  • Bochmann, D. & Posthoff, C. (1981). Binäre dynamische Systeme. München, Germany: Oldenbourg Verlag.

    MATH  Google Scholar 

  • Bochmann, D. & Steinbach, B. (1991). Logikentwurf mit XBOOLE. Berlin, Germany: Verlag Technik.

    Google Scholar 

  • Böhlau, P. (1987). Eine Dekompositionsstrategie für den Logikentwurf auf der Basis funktions-typischer Eigenschaften. Dissertation thesis, Technical University Karl-MarxStadt, Germany.

    Google Scholar 

  • Curtis, H. (1962). A New Approach to the Design of Switching Circuits. Princeton, USA: Van Nostrand.

    Google Scholar 

  • Dresig, E (1992). Gruppierung — Theorie und Anwendung in der Logiksynthese. Düsseldorf, Germany: VDI-Verlag.

    Google Scholar 

  • Lang, C. (2003). Bi-Decomposition of Function Sets Using Multi-Valued Logic. Dissertation thesis, Freiberg University of Mining and Technology, Germany.

    Google Scholar 

  • Le, T. Q. (1989). Testbarkeit kombinatorischer Schaltungen — Theorie und Entwurf. Dissertation thesis, Technical University Karl-Marx-Stadt, Germany.

    Google Scholar 

  • Mishchenko, A., Steinbach, B. & Perkowski, M. (2001). An Algorithm for Bi-Decomposition of Logic Functions. In 38th Design Automation Conference, 18–22. Las Vegas, USA.

    Google Scholar 

  • Sasao, T. & Butler, J. (1997). On Bi-Decompositions of Logic Functions. In International Workshop on Logic Synthesis, 18–21. Lake Tahoe, USA

    Google Scholar 

  • Sentovich, e. a. (1992). SIS: A System for Sequential Circuit Synthesis. University of California, Berkeley, California, USA. Technical Report UCB/ERI, M92/41, ERL, Dept. of EEC S .

    Google Scholar 

  • Somenzi, F. (2001). Binary Decition Diagram (BDD) Package: CUDD v. 2.3.1. University of Colorado at Boulder. URL http://vlsi.colorado.edu/—fabio/CUDD/cuddIntro.html.

    Google Scholar 

  • Steinbach, B. & Hesse, K. (1996). Design of Large Digital Circuits Utilizing Functional and Structural Properties. In 2nd Workshop on Boolean Problems, 23–30. Freiberg, Germany.

    Google Scholar 

  • Steinbach, B. & Le, T. Q. (1990). Entwurf testbarer Schaltnetzwerke. Wissenschaftliche Schriftenreihe 12/1990, Technical University Chemnitz, Germany.

    Google Scholar 

  • Steinbach, B., Schuhmann, E & Stöckert, M. (1993). Functional Decomposition of Speed Optimized Circuits. In Auvergne, D. & Hartenstein, R. (eds.) Power and Timing Modelling for Performance of Integrated Circuits, 65–77. Bruchsal, Germany: IT Press.

    Google Scholar 

  • Steinbach, B. & Stöckert, M. (1994). Design of Fully Testable Circuits by Functional Decomposition and Implicit Test Pattern Generation. In 12th IEEE VLSI Test Symposium, 22–27.

    Google Scholar 

  • Steinbach, B. & Wereszczynski, A. (1995). Synthesis of Multi-Level Circuits Using EXORGates. In IFIP WG 10.5 Workshop on Applications of the Reed-Muller Expansion, 161–168. Chiba, Japan.

    Google Scholar 

  • Steinbach, B. & Zakrevski, A. (1998). Three Models and Some Theorems on Decomposition of Boolean Functions. In 3rd International Workshop on Boolean Problems, 11–18. Freiberg, Germany.

    Google Scholar 

  • Steinbach, B. & Zhang, Z. (1997). Synthesis for Full Testability of Partitioned Combinational Circuits Using Boolean Differential Calculus. In 6th International Workshop on Logic and Synthesis, 1–4. Granlibakken, USA.

    Google Scholar 

  • Yang, M. C. (2000). BDD-Based Logic Optimization System. Technical Report CSE-00–1.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2004 Springer Science+Business Media Dordrecht

About this chapter

Cite this chapter

Steinbach, B., Lang, C. (2004). Exploiting Functional Properties of Boolean Functions for Optimal Multi-Level Design by Bi-Decomposition. In: Artificial Intelligence in Logic Design. Artificial Intelligence in Logic Design, vol 766. Springer, Dordrecht. https://doi.org/10.1007/978-1-4020-2075-9_6

Download citation

  • DOI: https://doi.org/10.1007/978-1-4020-2075-9_6

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-90-481-6583-4

  • Online ISBN: 978-1-4020-2075-9

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics