Skip to main content

Linear Programming for Multi-Vth and Multi-Vdd Assignment

  • Chapter
Closing the Power Gap Between ASIC & Custom
  • 1287 Accesses

Having provided a strong gate sizing benchmark using only a single transistor threshold voltage (Vth) and single supply voltage (Vdd) in Chapter 6, we now examine the impact of additionally using multiple-Vth and dual Vdd to minimize power. Comparing cells with different Vth values is no different to comparing cells with different sizes, providing that the leakage is included in the total circuit power. Multiple supply voltages can also be handled similarly, with level converter overheads for restoring to high Vdd.

Our dual-Vdd/dual-Vth/sizing results achieve on average 5% to 13% power savings versus the two alternate dual-Vdd/dual-Vth/sizing optimization approaches suggested in [6] and [10]. Importantly, the linear programming approach has runtimes that scale between linearly and quadratically with circuit size, whereas other algorithms that have been proposed for multi- Vdd, multi-Vth and gate size assignment have cubic runtime growth. This chapter examines in detail optimization with multiple supply voltages and multiple threshold voltages.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 89.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Avant!, Star-Hspice Manual, 1998, 1714 pp.

    Google Scholar 

  2. Bai, M., and Sylvester, D., “Analysis and Design of Level-Converting Flip-Flops for Dual-Vdd/Vth Integrated Circuits,” IEEE International Symposium on System-on-Chip, 2003, pp. 151-154.

    Google Scholar 

  3. Chinnery, D., and Keutzer, K., “Linear Programming for Sizing, Vth and Vdd Assign-ment, ” in Proceedings of the International Symposium on Low Power Electronics and Design, 2005, pp. 149-154.

    Google Scholar 

  4. Ishihara, F., Sheikh, F., and Nikolić, B., “Level Conversion for Dual-Supply Systems,” IEEE Transactions on VLSI Systems, vol. 12, no. 2, 2004, pp. 185-195.

    Article  Google Scholar 

  5. Kulkarni, S., and Sylvester, D., “Fast and Energy-Efficient Asynchronous Level Con-verters for Multi-VDD Design, ” IEEE Transactions on VLSI Systems, September 2004, pp. 926-936.

    Google Scholar 

  6. Kulkarni, S., Srivastava, A., and Sylvester, D., “A New Algorithm for Improved VDD Assignment in Low Power Dual VDD Systems,” International Symposium on Low-Power Electronics Design, 2004, pp. 200-205.

    Google Scholar 

  7. Nguyen, D., et al., “Minimization of Dynamic and Static Power Through Joint Assign-ment of Threshold Voltages and Sizing Optimization, ” International Symposium on Low Power Electronics and Design, 2003, pp. 158-163.

    Google Scholar 

  8. Puri, R., et al., “Pushing ASIC Performance in a Power Envelope, ” in Proceedings of the Design Automation Conference, 2003, pp. 788-793.

    Google Scholar 

  9. Sirichotiyakul, S., et al., “Stand-by Power Minimization through Simultaneous Thres-hold Voltage Selection and Circuit Sizing, ” in Proceedings of the Design Automation Conference, 1999, pp. 436-41.

    Google Scholar 

  10. Srivastava, A., Sylvester, D., and Blaauw, D., “Power Minimization using Simultaneous Gate Sizing Dual-Vdd and Dual-Vth Assignment, ” in Proceedings of the Design Auto-mation Conference, 2004, pp. 783-787.

    Google Scholar 

  11. Stok, L., et al., “Design Flows, ” chapter in the CRC Handbook of EDA for IC Design, CRC Press, 2006.

    Google Scholar 

  12. Usami, K., and Horowitz, M., “Clustered voltage scaling technique for low power design, ” in Proceedings of the International Symposium on Low Power Design, 1995, pp. 3-8.

    Google Scholar 

  13. Usami, K., et al., “Automated Low-Power Technique Exploiting Multiple Supply Voltages Applied to a Media Processor,” IEEE Journal of Solid-State Circuits, vol. 33, no. 3, 1998, pp. 463-472.

    Article  Google Scholar 

  14. Usami, K., et al., “Automated Low-power Technique Exploiting Multiple Supply Voltages Applied to a Media Processor, ”, in Proceedings of the Custom Integrated Circuits Conference, 1997, pp. 131-134.

    Google Scholar 

  15. Wei, L., et al., “Mixed-Vth (MVT) CMOS Circuit Design Methodology for Low Power Applications, ” in Proceedings of the Design Automation Conference, 1999, pp. 430-435.

    Google Scholar 

  16. Wei, L., Roy, K., and Koh, C., “Power Minimization by Simultaneous Dual-Vth Assignment and Gate-Sizing, ” in Proceedings of the IEEE Custom Integrated Circuits Conference, 2000, pp. 413-416.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2007 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Chinnery, D., Keutzer, K. (2007). Linear Programming for Multi-Vth and Multi-Vdd Assignment. In: Closing the Power Gap Between ASIC & Custom. Springer, Boston, MA. https://doi.org/10.1007/978-0-387-68953-1_7

Download citation

  • DOI: https://doi.org/10.1007/978-0-387-68953-1_7

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-0-387-25763-1

  • Online ISBN: 978-0-387-68953-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics