Skip to main content

MEMS Lithography and Micromachining Techniques

  • Chapter
  • First Online:
MEMS Materials and Processes Handbook

Part of the book series: MEMS Reference Shelf ((MEMSRS,volume 1))

Abstract

Photolithography is a patterning process that uses light to transfer a pattern from a mask to a photosensitive polymer layer. The resulting pattern can either be etched into the underlying surface or used to define the patterning of a layer deposited onto the masked surface. This is essentially a two-dimensional process that can be repeated numerous times to fabricate various structures and devices. A classic use of these techniques is the fabrication of transistors on a silicon substrate as practiced in the semiconductor industry. Development over a number of years has yielded optimization of processing conditions, equipment, and materials to achieve ever smaller sized features and an increased density of integration. In the effort to fabricate even smaller (submicron to nanometer) features, other fabrication methods have also been developed such as electron beam lithography, focused ion beam lithography, and nanoimprint lithography. This chapter presents an introduction and practical approach to lithography and micromachining techniques in the context of MEMS device fabrication. The topics that are discussed here include: UV lithography, grayscale lithography, e-beam lithography, X-ray lithography, direct-write lithographies and imprint lithographies. A detailed description including highlighted examples of each of these lithographic techniques is presented in this chapter. At the end of the chapter a compilation of hands-on case studies is presented to assist readers in implementing these techniques in their own laboratories and developing custom fabrication capabilities that fulfill their own unique requirements.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 249.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD 319.00
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. G.E. Moore: Cramming more components onto integrated circuits, Electronics 38, 11–117 (1965)

    Google Scholar 

  2. W. Brunsvold, W. Conley, W. Montgomery, et al: Acid-sensitive phenol-formaldehyde polymeric resists, Polymers for microelectronics – Resists and dielectrics, ACS Symposium Series 537, 333–347 (1994)

    Article  Google Scholar 

  3. Deforest: Photoresist: Materials and Processes (McGraw-Hill, New York, NY, 1975)

    Google Scholar 

  4. G.A. Delzenne: In Encyclopedia of Polymer Science and Technology, Plastics, Resins, Rubbers, Fibers (Interscience, New York, NY, 1976)

    Google Scholar 

  5. P.P. Hanson: Unconventional photographic systems – A bibliography of Reviews, Photogr. Sci. Eng. 14, 438 (1970)

    MathSciNet  Google Scholar 

  6. J. Kosar: Light Sensitive Systems (Wiley, New York, NY, 1965)

    Google Scholar 

  7. W.M. Moreau: Semiconductor Lithography Principles, Practices, and Materials (Plenum, New York, NY, 1987)

    Google Scholar 

  8. D.H. Ziger, C.A. Mack: Generalized-approach toward modeling resist performance, AIChE J. 37, 1863–1874 (1991)

    Article  Google Scholar 

  9. G.K. Ananthasuresh, L.L. Howell: Mechanical design of complicated microsystems – A perspective & prospects, J. Mech. Des. 127, 736 (2005)

    Article  Google Scholar 

  10. S. Kota, G.K. Ananthasuresh, S.B. Crary, et al.: Design & fabrication of microelectromechanical systems, J. Mech. Des. 116, 1081 (1994)

    Article  Google Scholar 

  11. Z. Cui: Micro- Nanofabrication Technologies & Applications (Springer, New York, NY, 2005)

    Google Scholar 

  12. Z. Cui: Nanofabrication: Principles, Capabilities & Limits, (Springer, New York, NY, 2008)

    Google Scholar 

  13. F. Hua, Y. Sun, A. Gaur, M.A. Meitl, L. Bilhaut, L. Rotkina, J. Wang, P. Geil, M. Shim, J.A. Rogers, A. Shim: Polymer imprint lithography with molecular-scale resolution, Nano Lett. 4, 2467–2471 (2004)

    Article  Google Scholar 

  14. S.R. Quake, A. Scherer: From micro to nanofabrication with soft materials, Science 290, 1536 (2000)

    Article  Google Scholar 

  15. J.A. Rogers, H.H. Lee: Unconventional Nanopatterning Techniques & Applications (Wiley, New York, NY, 2009)

    Google Scholar 

  16. V.N. Truskett, M.P.C. Watts: Trends in imprint lithography for biological applications, Trends Biotechnol. 24, 312 (2006)

    Article  Google Scholar 

  17. G.M. Whitesides, E. Ostuni, S. Takayama, X.Y. Jiang, D.E. Ingber: Soft lithography in biology & biochemistry, Annu. Rev. Biomed. Eng. 3, 335 (2001)

    Article  Google Scholar 

  18. G.M. Whitesides, Y. Xia: Soft lithography, Annu. Rev. Mater. Sci. 28, 153–184 (1998)

    Article  Google Scholar 

  19. J.L. Wilbur, A. Kumar, E. Kim, G.M. Whitesides: Microfabrication by microcontact printing of self assembled monolayers, Adv. Mater. 6, 600–604 (1994)

    Article  Google Scholar 

  20. Y. Xia, G.M. Whitesides: Soft lithography review, Angew. Chem. Int. Ed. 37, 550–575 (1998)

    Article  Google Scholar 

  21. X.-M. Zhao, Y. Xia, G.M. Whitesides: Soft lithographic methods for nano-fabrication, J. Mater. Chem. 7, 1069–1074 (1997)

    Article  Google Scholar 

  22. P. Calvert: Inkjet printing for materials and devices, Chem. Mater. 13, 3299–3305 (2001)

    Article  Google Scholar 

  23. E. Sachs, M. Cima, P. Williams, D. Brancazio, J. Cornie: 3-Dimensional printing – Rapid tooling & prototypes directly from a CAD mode, J. Eng. Ind. – Trans. ASME 114, 481 (1992)

    Article  Google Scholar 

  24. C. Wu: Ink jets not just for the printed page, Sci. News 151, 205 (1997)

    Article  Google Scholar 

  25. Photomask Basics. Available: http://www.photonics.com/about/basics.jsp. Photonics Media, Laurin Publishing (1996–2010)

  26. Contact Mask Design Principles. Available: http://www.amfitzgerald.com, A.M. Fitzgerald & Associates, LLC. (2008–2010)

  27. M.J. Madou: Fundamentals of Microfabrication: The Science of Miniaturization, 2nd edn. (CRC Press, Boca Raton, FL, 2002)

    Google Scholar 

  28. C.-M. Shiah, Y.-Y. Hsu, Y.-C. Tung, H.-Y. Liao, K.-T. Tsai, J.-B. Wang: Alternating phase shift mask, US Patent No. 6,977,127, 12/20/05 (2005)

    Google Scholar 

  29. Z. Krivokapic, C.A. Spence: Attenuated phase shift mask, US Patent No. 5,928,813, 07/27/1999 (1999)

    Google Scholar 

  30. SU-8: Thick Photo-Resist for MEMS. Available: http://memscyclopedia.org/su8.html

  31. Microlithography. Available: http://www.cnf.cornell.edu/cnf5_courses.html. Cornell Nano Scale Science and Technology Facility (2009)

  32. M.S. Hibbs: System Overview of Optical Steers and Scanners, Chapter 1, In J.R. Sheats, B.W. Smith (Eds.): Microlithography Science and Technology (Marcel Dekker, New York, NY, 1998)

    Google Scholar 

  33. E.J. Walker: Reduction of photoresist standing-wave effects by post-exposure bake, IEEE Trans. Electron. Dev. 22, 464–466 (1975)

    Article  Google Scholar 

  34. J.M. Shaw; M. Hatzakis: Performance characteristics of diazo-type photoresists under e-beam and optical exposure, IEEE Trans. Electron. Dev. 25, 425–430 (1978)

    Article  Google Scholar 

  35. M.S. Hibbs: System Overview of Optical Steers and Scanners (Marcel Dekker, New York, NY, 1998)

    Google Scholar 

  36. B.W. Smith: Resist Processing (Marcel Dekker, New York, NY, 1998)

    Google Scholar 

  37. J.J. Sagura, J.V.A. Allan: Azide sensitized resin photographic resist, US Patent No. 2,940,853, June 14 (1960)

    Google Scholar 

  38. J.M. Shaw, J.D. Gelorme, N.C. LaBianca, W.E. Conley, S.J. Holmes: Negative photoresists for optical lithography, IBM J. Res. Dev. 41, 81–94 (1997)

    Article  Google Scholar 

  39. J.V. Crivello, J.H.W. Lam: Photoinitiated cationic polymerization by dialkyl phenyl sulfonium salts, J. Polym. Sci. Polym. Chem. Ed. 17, 2877 (1979)

    Article  Google Scholar 

  40. J.V. Crivello: Possibilities for photoimaging using onium salts, Proceedings of the SPIE Regional Technical Conference on Photopolymers, Society of Polymer Engineers, 267 (1982)

    Google Scholar 

  41. E. Alling, C. Stauffer: Image reversal of positive photoresist, SPIE Proceedings, 539 Advances in Resist Technology & Processing (1985)

    Google Scholar 

  42. M.L. Long, J. Newman: Image reversal techniques with standard positive photoresist, Proceedings of the Society of Photo-optical Instruments Engineers 469, 189–193, (1984)

    Google Scholar 

  43. W.G. Oldham, E. Hieke: IEEE Electron Device Lett. 1, 217–219, (1980)

    Article  Google Scholar 

  44. R.B. Darling: Photolithography Tutorial, University of Washington, Center for Applied Microtechnology

    Google Scholar 

  45. I.S. Daraktchiev: Process for coating a photoresist composition onto a substrate, US Patent No. 4,996,080, 2/26/1991 (1991)

    Google Scholar 

  46. N.P. Pham, T.L. Scholtes, R. Klerk, B. Wieder, P.M. Sarro, J.N. Burghartz: Direct Spray Coating of Photoresist for MEMS Applications, Presented at Micromachining and Microfabrication Process Technology VII, San Francisco, CA, USA (2001)

    Google Scholar 

  47. L. Yu, Y. Yeow Lee, F.E.H. Tay, C. Iliescu: Spray coating of photoresist for 3D microstructures with different geometries, J. Phys. Conf. Ser. 34, 937–942 (2006)

    Article  Google Scholar 

  48. E. DiFabrizio, F. Romanato, M. Gentili, S. Cabrini, B. Kaulich, J. Susini, R. Barret: High-efficiency multilevel zone plates for keV X-rays, Nature, 401, 895–898 (1999)

    Article  Google Scholar 

  49. P. Ehbets, H.P. Herzig, D. Prongue, M.T. Gale: High-efficiency continuous surface-relief gratings for two-dimensional array generation, Opt. Lett., 17, 908–910 (1992)

    Article  Google Scholar 

  50. B. Morgan, C. Waits, J. Krizmanic, R. Ghodssi: Development of a deep silicon phase fesnel lens using gray-scale technology and deep reactive ion etching, J. Microelectromech. Sys. (JMEMS) 13, 113–120 (2004)

    Article  Google Scholar 

  51. P. Yao, G.J. Schneider, D. Prather: Three-dimensional lithography fabrication for microchannels, J. Microelectromech. Sys. (JMEMS) 14, 799–805 (2005)

    Article  Google Scholar 

  52. P. Yao, G.J. Schneider, D. Prather, E. Wetzel, D. O’Brein: Fabrication of three-dimensional photonic crystals with multilayer photolithography, Opt. Express 13, 2370–2376 (2005)

    Article  Google Scholar 

  53. V. Kudryashov, X.-C. Yuan, W.-C. Cheong, K. Radhakrishnan: Grey scale structures formation in SU-8 with e-beam and UV, Microelectron. Eng. 67–68, 306–311 (2003)

    Article  Google Scholar 

  54. Y.-T. Lu, C.-S. Chu, H.-Y. Lin: Characterization of the gray-scale photography with high-resolution gray steps for the precise fabrication of diffractive optics, Opt. Eng. 43, 2666–2670 (2004)

    Article  Google Scholar 

  55. B. Morgan, R. Ghodssi: Vertically-shaped tunable MEMS resonators, J. Microelectromech. Sys. (JMEMS) 17, 85–92 (2008)

    Article  Google Scholar 

  56. B. Morgan, X. Hua, T. Iguchi, T. Tomioka, G. Oehlein, R. Ghodssi: Substrate interconnect technologies for 3-D MEMS packaging, Microelectron. Eng. 81, 106–116 (2005)

    Article  Google Scholar 

  57. B. Morgan, J. McGee, R. Ghodssi: Automated 2-axis optical fiber alignment using gray-scale technology, J. Microelectromech. Sys. (JMEMS) 16, 102–110 (2007)

    Article  Google Scholar 

  58. B. Morgan, C.M. Waits, R. Ghodssi: Compensated Aspect Ratio Dependent Etching (CARDE) using gray-scale technology, Microelectron. Eng. 77, 85–94 (2005)

    Article  Google Scholar 

  59. B.C. Morgan: Electrostatic MEMS Actuators Using Gray-Scale Technology, Ph.D. thesis, University of Maryland, College Park, MD (2006)

    Google Scholar 

  60. Y. Olinger, P. Sixt, J.M. Stauffer, J.M. Mayor, P. Regnault, G. Voirin: One-step 3D shaping using a gray-tone mask for optical and microelectronic applications, Microelectron. Eng. 23, 449–454 (1994)

    Article  Google Scholar 

  61. C.M. Waits, A. Modafe, R. Ghodssi: Investigation of gray-scale technology for large area 3-D silicon MEMS stuctures, J. Micromech. Microeng. 13, 170–177 (2003)

    Article  Google Scholar 

  62. M. Woytasik, J.-P. Grandchamp, E. Dufour-Gergam, J.-P. Gilles, S. Megherbi, E. Martincic, H. Maathias, P. Crozat: Two- and three-dimensional microcoil fabrication process for three-axis magnetic sensors on flexible substrates, Sens. Actuators A Phys. 132, 2–7 (2006)

    Article  Google Scholar 

  63. L. Mosher: Double-Exposure Gray-Scale Photolithography, Master’s Thesis, University of Maryland, College Park, MD (2008)

    Google Scholar 

  64. C.-C. Chen, C.-H. Hou, J.-K. Sheu, J.-Y. Chang, M.-H. Li, G.-C. Chi, C. Wu: Gratings in GaN membranes, Jpn. J. Appl. Phys. 43, 5854–5856 (2004)

    Article  Google Scholar 

  65. C.-C.L. Chen, Chien-Chieh, J.Y. Cheng, Y.L. Huang, C.-Y. Chang, M.-H. Li, Y.-S. Huang, L.-Y. Chien, J.-Y. Chang, G.-C. Chi, W.-T. Cheng, J.-H. Yeh, C. Wu: Microlens and micromirror for optical MEMS fabricated by e-beam photolithography and ICP etching, International Conference of Optics in Computing (OC2002), Taipei, Taiwan, 322 (2002)

    Google Scholar 

  66. V.P. Korolkov, A.I. Malyshev, A.G. Poleshchuck, V.V. Cherksshin, H.J. Tiziani, C. Pruss, T. Schoder, J. Westhauser, C. Wu: Fabrication of gray-scale masks and diffractive optical elements with LDW glass, Proceedings of SPIE – Lithographic and Micromachining Techniques for Optical Component Fabrication, 4440, 73–84 (2001)

    Article  Google Scholar 

  67. L. Mosher, C.M. Waits, B. Morgan, R. Ghodssi: Double-exposure grayscale photolithography, J. Microelectromech. Syst. 18, 308–315 (2009)

    Article  Google Scholar 

  68. E. Lee, J. Won Hahn: J. Appl. Phys. 103, 083550 (2008)

    Article  Google Scholar 

  69. J. Krizmanic, B. Morgan, R. Streitmatter, N. Gehrels, K. Gendreau, Z. Arzoumanian, R. Ghodssi, G. Skinner: Development of ground-testable phase frenel lenses in silicon, Exp. Astron. 20, 299–306 (2005)

    Article  Google Scholar 

  70. Y. Chen, R.K. Kupka, F. Rousseaux, F. Carcenac, D. Decanini, M.F. Ravet, H. Launois: 50-nm x-ray lithography using synchrotron radiation, J. Vac. Sci. Technol. B 12, 3959–3964 (1994)

    Article  Google Scholar 

  71. Z. Cui: Micro-Nanofabrication: Technologies and Applications (Higher Education Press, Beijing, 2005)

    Google Scholar 

  72. E.W. Becker, W. Ehrfeld, P. Hagmann, A. Maner, D. Münchmeyer: Microelectron. Eng. 4, 35–56 (1986)

    Article  Google Scholar 

  73. W. Ehrfeld, P. Bley, F. Götz, P. Hagmann, D. Münchmeyer, J. Mohr, O.H. Moser, D. Münchmeyer, W. Schelb, D. Schmidt, E.W. Becker: Fabrication of microstructures using the LIGA process, Presented at Micro Robots and Teleoperators Workshop, IEEE (1987)

    Google Scholar 

  74. H. Guckel: High-aspect-ratio micromachining via deep X-ray lithography, Proc. IEEE, 86, 1586 (1998)

    Article  Google Scholar 

  75. H. Guckel, T.R. Christenson, K.J. Skrobis, T.S. Jung, J. Klein, K.V. Hartojo, I. Widjaja: A first functional current excited planar rotational magnetic micromotor, Presented at Micro Electro Mechanical Systems, 1993, MEMS '93, Proceedings an Investigation of Micro Structures, Sensors, Actuators, Machines and Systems (IEEE 1993)

    Google Scholar 

  76. V. Saile, U. Wallrabe, O. Tabata, G.K. Fedder: LIGA and Its Applications, Volume 7 of Advanced Micro and Nanosystems (Wiley-VCH, Weinheim, Germany, 2008)

    Book  Google Scholar 

  77. K. Guckel, K.J. Skrobis, T.R. Christenson, J. Klein, S. Han, B. Choi, E.G. Lovell: Fabrication of assembled micromechanical components via deep X-ray lithography, Presented at Micro Electro Mechanical Systems, 1991, MEMS '91, Proceedings an Investigation of Micro Structures, Sensors, Actuators, Machines and Robots (IEEE, 1991)

    Google Scholar 

  78. A.R. Shimkunas, P.E. Mauger, L.P. Bourget, R.S. Post, L. Smith, R.F. Davis, G.M. Wells, F. Cerrina, R.B. McIntosh: Advanced electron cyclotron resonance chemical vapor deposition SiC coatings and x-ray mask membranes, J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. 9, 3258 (1991)

    Article  Google Scholar 

  79. G.M. Wells, S. Palmer, F. Cerrina, A. Purdes, B. Gnade: Radiation stability of SiC and diamond membranes as potential x-ray lithography mask carriers, J. Vac. Sci. Technol. B 8/6, 1575–1578 (1990)

    Article  Google Scholar 

  80. J. Goettert: From Design to LiGA Polymer Chips: A Customer Experience, Presentation (Louisiana State University, 2005)

    Google Scholar 

  81. M. Gad-el-Hak: MEMS: Design and Fabrication, 2 edn. (CRC Press, Boca Raton, FL, 2006)

    Google Scholar 

  82. Y. Liu, P. Sheng: Modeling of X-ray fabrication of macromechanical structures, J. Manufact. Proces 4, 109 (2002)

    Article  Google Scholar 

  83. H. Guckel, K.J. Skrobis, J. Klein, T.R. Christenson: Micromechanics via x-ray assisted processing, J. Vac. Sci. Technol. 12/4, 2559–2564 (1994)

    Google Scholar 

  84. R.K. Kupka, F. Bouamrane, C. Cremers, S. Megtert: Microfabrication: LIGA-X and applications, Appl. Surf. Sci. 164, 97 (2000)

    Article  Google Scholar 

  85. H. Guckel: Deep x-ray lithography for micromechanics and precision engineering, Rev. Sci. Instrum. 67, 3357 (1996)

    Article  Google Scholar 

  86. Y. Vladimirsky, J. Maldonado, R. Fair, R. Acosta, O. Vladimirsky, R. Viswanathan, H. Voelker, F. Cerrina, G.M. Wells, M. Hansen, R. Nachman: Thermal effects in x-ray masks during synchrotron storage ring irradiation, J. Vac. Sci. Technol. B 7/6, 1657–1661 (1989)

    Article  Google Scholar 

  87. A. Flamholz, R. Ristein: X-ray steer exposure system performance and status, J. Vac. Sci. Technol. B 8/6, 2002–2007 (1990)

    Article  Google Scholar 

  88. M. Reilly, Q. Leonard, G. Wells, C. Capasso, P. Anderson, J. Taylor, W. Waldo, K. Yamazaki, G. Chen, K. Simon, F. Cerrina: Performance of the modified Suss XRS 200/2 M X-ray steer at CXrL, Jpn. J. Appl. Phys. 33, 6899 (1994)

    Article  Google Scholar 

  89. H. Guckel, T.R. Christenson, K.J. Skrobis, D.D. Denton, B. Choi, E.G. Lovell, J.W. Lee, S.S. Bajikar, T.W. Chapman: Deep X-ray and UV lithographies for micromechanics, Presented at Solid-State Sensor and Actuator Workshop, 1990. 4th Technical Digest, (IEEE 1990)

    Google Scholar 

  90. K. Yamazaki: Electron Beam Direct Write (World Scientific, Singapore, 2008)

    Google Scholar 

  91. K.L. Ekinci, X.M.H. Huang, M.L. Roukes: Ultraelectromechanical Mass Detection, Appl. Phys. Lett. 84, 4469 (2004)

    Article  Google Scholar 

  92. Y.T. Yang, C. Callegari, X.L. Feng, K.L. Ekinci, M.L. Roukes: Zeptogram-scale nanomechanical mass sensing, Nano Lett. 6, 583 (2006)

    Article  Google Scholar 

  93. K.L. Ekinci, J. Brugger: Nanofabrication of Nanoelectromechanical Systems (NEMS) (World Scientific, Singapore, 2008)

    Google Scholar 

  94. D.F. Kyser, N.S. Viswanathan: Monte Carlo simulation of spatially distributed beams in electron-beam lithography, J. Vac. Sci. Technol. 12, 1305–1308 (1975)

    Article  Google Scholar 

  95. B.D. Cook, S.-Y. Lee: Fast proximity effect correction: An extension of PYRAMID for thicker resists, J. Vac. Sci. Technol. B 11, 2762 (1993)

    Article  Google Scholar 

  96. J. Jacob, S. Lee, J. McMillan, N. MacDonald: Fast proximity effect correction: An extension of PYRAMIN for circuit patterns of arbitrary size, J. Vac. Sci. Technol. B 10, 3077–3082 (1992)

    Article  Google Scholar 

  97. E. Kratschmer: Verification of a proximity effect correction program in electron beam lithography, J. Vac. Sci. Technol. 19, 1264–1268 (1981)

    Article  Google Scholar 

  98. M.A. McCord, M.J. Rooks: Electron Beam Lithography, PM39: (SPIE Publications, Bellingham, WA, 1997)

    Google Scholar 

  99. I. Utke, P. Hoffmann, J. Melngailis: Gas-assisted focused electron beam and ion beam processing and fabrication, J. Vac. Sci. Technol. B 26, 1197–1276 (2008)

    Article  Google Scholar 

  100. J.H. Orloff, M. Utlaut, L.W. Swanson: High Resolution Focused Ion Beams (Kluwer, New York, NY, 2003)

    Book  Google Scholar 

  101. A.A. Tseng, S. Jou, A. Notargiacomo, T.P. Chen, Recent developments in tip-based nanofabrication and its roadmap, J. Nanosci. Nanotechnol. 8, 2167–2186, 2008

    Article  Google Scholar 

  102. A.A. Tseng: Recent developments in micromilling using focused ion beam technology, J. Micromech. Microeng. 14, R15–R34, 2004

    Article  Google Scholar 

  103. H. Yamaguchi, A. Shimase, S. Haraichi, T. Miyauchi: Characteristics of silicon removal by fine focused gallium ion beam, J. Vac. Sci. Technol. B 3, 71 (1985)

    Article  Google Scholar 

  104. S. Dhara: Formation, dynamics, and characterization of nanostructures by ion beam irradiation, Crit. Rev. Solid State Mater. Sci. 32, 1–50 (2007)

    Article  Google Scholar 

  105. L. Frey, C. Lehrer, H. Ryssel: Nanoscale effects in focused ion beam processing, Appl. Phys. A: Mater. Sci. Process. 76, 1017–1023 (2003)

    Article  Google Scholar 

  106. L.A. Giannuzzi, F.A. Stevie: Introduction to Focused Ion Beams: Instrumentation, Theory, Techniques and Practice (Springer, New York, NY, 2005)

    Book  Google Scholar 

  107. J. Gierak, E. Bourhis, M.N.M. Combes, et al.: Exploration of the ultimate patterning potential achievable with focused ion beams, Microelectron. Eng. 78–79, 266–278 (2005)

    Article  Google Scholar 

  108. R.M. Langford, P.M. Nellen, J. Gierak, et al.: Focused ion beam micro- and nanoengineering, MRS Bull. 32, 417–423 (2007)

    Article  Google Scholar 

  109. S. Matsui, R. Kometani: Three-dimensional nanostructure fabrication by focused-ion-beam chemical vapor deposition and its applications, IEICE Trans. Electron. E90C, 25–35 (2007)

    Article  Google Scholar 

  110. J. Mayer, L.A. Giannuzzi, T. Kamino, et al.: TEM sample preparation and FIB-induced damage, MRS Bull. 32, 400–407 (2007)

    Article  Google Scholar 

  111. J. Melngailis: Focused ion-beam technology and applications, J. Vac. Sci. Technol. B 5, 469–495 (1987)

    Article  Google Scholar 

  112. W.J. MoberlyChan, D.P. Adams, M.J. Aziz, et al.: Fundamentals of focused ion beam nanostructural processing: Below, at, and above the surface, MRS Bull. 32, 424–432 (2007)

    Article  Google Scholar 

  113. P.M. Nellen, V. Callegari, U. Sennhauser: Preparative methods for nanoanalysis of materials with focused ion beam instruments, Chimia 60, A735–A741(2006)

    Article  Google Scholar 

  114. S. Reyntjens, R. Puers: A review of focused ion beam applications in microsystem technology, J. Micromech. Microeng. 11, 287–300 (2001)

    Article  Google Scholar 

  115. A.A. Tseng: Recent developments in nanofabrication using focused ion beams, Small 1, 924–939 (2005)

    Article  Google Scholar 

  116. M.D. Uchic, L. Holzer, B.J. Inkson, et al.: Three-dimensional microstructural characterization using focused ion beam tomography, MRS Bull. 32, 408–416 (2007)

    Article  Google Scholar 

  117. M.A. Volkert, A.M. Minor: Focused ion beam microscopy and micromachining, MRS Bull. 32, 389–395 (2007)

    Article  Google Scholar 

  118. Y.Q. Fu, N.K.A. Bryan, Experimental study of microcylindrical lenses fabricated using focused-ion-beam technology, J. Vac. Sci. Technol. B 19, 4 1259–1263 (2001)

    Article  Google Scholar 

  119. S.J. Randolph, J.D. Fowlkes, P.D. Rack: Focused, nanoscale electron-beam-induced deposition and etching, Crit. Rev. Solid State Mater. Sci. 31, 55–89 (2006)

    Article  Google Scholar 

  120. N. Silvis-Cividjian, C.W. Hagen: Electron-Beam-Induced Nanometer-Scale Deposition Advances in Imaging and Electron Physics, Vol. 143 (Elsevier Academic, San Diego, CA, 2006)

    Google Scholar 

  121. A.N. Broers, W.W. Molzen, J.J. Cuomo, et al.: Electron-beam fabrication of 80-A metal structures, Appl. Phys. Lett. 29, 596–598 (1976)

    Article  Google Scholar 

  122. R.W. Christy: Formation of thin polymer films by electron bombardment, J. Appl. Phys. 31, 1680–1683 (1960)

    Article  Google Scholar 

  123. H.W.P. Koops, R. Weiel, D.P. Kern, et al.: High-resolution electron-beam induced deposition, J. Vac. Sci. Technol. B 6, 477–481 (1988)

    Article  Google Scholar 

  124. S. Matsui, K. Mori: New selective deposition technology by electron-beam induced surface-reaction, Jpn. J. Appl. Phys. Part 2-Lett. 23, L706–L708 (1984)

    Article  Google Scholar 

  125. S. Matsui, K. Mori, K. Saigo, et al.: Lithographic approach for 100-nm fabrication by focused ion-beam, J. Vac. Sci. Technol. B 4, 845–849 (1986)

    Article  Google Scholar 

  126. W.F. van Dorp, C.W. Hagen: A critical literature review of focused electron beam induced deposition, J. Appl. Phys. 104, 081301 (2008)

    Article  Google Scholar 

  127. P.A. Crozier, C.W. Hagen: High-Resolution Electron-Beam-Induced Deposition, In A.A. Tseng (Ed.): Nanofabrication Fundamentals and Applications, pp. 399–430 (World Scientific, River Edge, NJ, 2008)

    Chapter  Google Scholar 

  128. M. Su, V.P. Dravid: Colored ink dip-pen nanolithography, Appl. Phys. Lett. 80, 4434–4436 (2002)

    Article  Google Scholar 

  129. A.A. Tseng, A. Notargiacomo: Nanoscale fabrication by nonconventional approaches, J. Nanosci. Nanotechnol. 5, 683–702 (2005)

    Article  Google Scholar 

  130. A. Ivanisevic, C.A. Mirkin: “Dip-Pen” nanolithography on semiconductor surfaces, J. Am. Chem. Soc. 123, 7887–7889 (2001)

    Article  Google Scholar 

  131. H. Zhang, N.A. Amro, S. Disawal, R. Elghanian, R. Shile, J. Fragala: High-throughput dip-pen-nanolithography-based fabrication of Si nanostructures, Small 3, 81–85 (2007)

    Article  Google Scholar 

  132. D. Bäuerle: Laser Processing and Chemistry, 3rd edn. (Springer, Berlin, 2000)

    Google Scholar 

  133. G.B. Blanchet, Y.L. Loo, J.A. Rogers, F. Gao, C.R. Fincher: Large area, high resolution, dry printing of conducting polymers for organic electronics, Appl. Phys. Lett. 82, 463–365 (2003)

    Google Scholar 

  134. S.D. Allen: Laser chemical vapor-deposition – A technique for selective area deposition, J. Appl. Phys. 52, 6501–5605 (1981)

    Google Scholar 

  135. C. Duty, D. Jean, W.J. Lackey: Laser chemical vapour deposition: Materials, modeling and process control, Int. Mater. Rev. 46, 271–287 (2001)

    Article  Google Scholar 

  136. M. Stuke, K. Mueller, T. Mueller, K. Williams, R. Oliver, D.A.A. Ohberg, G. Fuhr, R.S. Williams: Direct-writing of three-dimensional structures using laser-based processes, MRS Bull. 32, 32–39 (2007)

    Article  Google Scholar 

  137. J.C. Andre, A.L. Methaute, O.D. Wittee: Dispositif pour realiser un modeie de piece industrielle, French Patent No. 8,411,241, July 16 (1884)

    Google Scholar 

  138. C. Hull: Apparatus for production of three-dimensional objects by stereolithography, US Patent No. 4,575,330, August 8 (1984)

    Google Scholar 

  139. H. Kodama: Automatic method for fabricating a three-dimensional plastic model with photohardening polymer, Rev. Sci. Instrum. 52, 1770–1773 (1981)

    Article  Google Scholar 

  140. V.K. Varadan, X. Jiang, V.V. Varadan: Microstereolithography and Other Fabrication Techniques for 3D MEMS (Wiley, Chichester, 2001)

    Google Scholar 

  141. X. Zhang, X.N. Jiang, C. Sun: Micro-stereolithography of polymeric and ceramic microstructures, Sens. Actuators 77, 149–156 (1999)

    Article  Google Scholar 

  142. W.P. Bichard: Principles and Applications of Photochemistry (Oxford University Press, Oxford, 1998)

    Google Scholar 

  143. S. Kawata, H.-B. Sun, T. Tianaka, K. Takada: Finer features for functional microdevices – Micromachines can be created with higher resolution using two-photon absorption, Nature 412, 697–698 (2001)

    Article  Google Scholar 

  144. J. Serbin, A. Egbert, A. Ostendorf, B.N. Chichkov, R. Houbertz, G. Domann, J. Schulz, C. Cronauer, L. Frohlich, M. Popall: Femtosecond laser-induced two-photon polymerization of inorganic-organic hybrid materials for applications in photonics, Opt. Lett. 28, 301–303 (2003)

    Article  Google Scholar 

  145. S. Maruo, K. Ikuta, H. Korogi: Force-controllable, optically driven micromachines fabricated by single-step two-photon microstereolithography, J. Micromech. Syst. 12, 7 (2003)

    Article  Google Scholar 

  146. S. Maruo, K. Ikuta, H. Korogi: Submicron manipulation tools driven by light in a liquid, Appl. Phys. Lett. 82, 133–135 (2003)

    Article  Google Scholar 

  147. J. Needham: Science and Civilization in China, Vol. 5, Pt 1 Paper and Printing (Cambridge [Eng.] University Press, Cambridge, 1985)

    Google Scholar 

  148. K. Doo-jong: History of Korean printing, Korea J. 3, 22 (1963)

    Google Scholar 

  149. P. Hoyer: Semiconductor nanotube formation by a two-step template process, Adv. Mater. 8, 857–859 (1996)

    Article  Google Scholar 

  150. P. Hoyer, N. Baba, H. Masuda: Small quantum-sized CdS particles assembled to form a regularly nanostructured porous film, Appl. Phys. Lett. 66, 2700–2702 (1995)

    Article  Google Scholar 

  151. T.E. Huber, L. Luo: Far-infrared propagation in metal wire microstructures, Appl. Phys. Lett. 70, 2502–2504 (1997)

    Article  Google Scholar 

  152. P.E.J. Legierse, J.H.T. Pasman: Polymers in Information Storage Technology (Plenum, New York, NY 1989)

    Google Scholar 

  153. H. Masuda, K. Fukuda: Ordered metal nanohole arrays made by a two-step replication of honeycomb structures of anodic alumina, Science 268, 1466–1468 (1995)

    Article  Google Scholar 

  154. W. Michaeli, R. Bielzer: Metal injection molding: Shaping sintered metal parts, Adv. Mater. 3, 260–262 (1991)

    Article  Google Scholar 

  155. S.Y. Chou, P.R. Krauss, P.J. Renstrom: Imprint of sub-25 nm vias and trenches in polymers, Appl. Phys. Lett. 67, 3114–3116 (1995)

    Article  Google Scholar 

  156. S.Y. Chou, P.R. Krauss, P.J. Renstrom: Imprint lithography with 25-nanometer resolution, Science 272, 85–87 (1996)

    Article  Google Scholar 

  157. M. Emmelius, G. Pawlowski, H.W. Vollmann: Materialien für die optische Datenspeicherung, Angew. Chem. Int. Ed. Engl. 101, 1475–1501 (1989)

    Article  Google Scholar 

  158. M.T. Gale: Micro-Optics: Elements, Systems and Applications (Taylor & Francis, London, 1997)

    Google Scholar 

  159. H.W. Lehmann, R. Widmer, M. Ebnoether, A. Wokaun, M. Meier, S.K. Miller: Fabrication of sub-micron crossed square-wave gratings by dry etching and thermoplastic replication techniques, J. Vac. Sci. Technol. B 1, 1207–1210 (1983)

    Article  Google Scholar 

  160. C.M. Rodia, Precision electroforming for optical disk manufacturing, Proc. SPIE Int. Soc. Opt. Eng. 529, 69–75 (1985)

    Article  Google Scholar 

  161. K.-H. Schlereth, H. Bötther: Embossed grating lead chalcogenide distributed-feedback lasers, J. Vac. Sci. Technol. B 10, 114–117 (1992)

    Article  Google Scholar 

  162. F.P. Shvartsman: Diffractive and Miniaturized Optics (SPIE Optical Engineering Press, Bellingham, WA, 1993)

    Google Scholar 

  163. J.S. Winslow: Mastering and replication of reflective videodiscs, IEEE Trans. Consum. Electron. 22, 318–326 (1976)

    Article  Google Scholar 

  164. H.C. Haverkorn van Rijsewijk, P.E.J. Legierse, G.E. Thomas: Manufacture of laservision discs by a photopolymerization process, Philips Tech. Rev. 40, 287–297 (1982)

    Google Scholar 

  165. J.G. Kloosterboer, G.J.M. Liits, H.C. Meinders: Photopolymerizable lacquers for LaserVision video discs, Philips Tech. Rev. 40, 198–309 (1982)

    Google Scholar 

  166. B.D. Terris, H.J. Mamin, M.E. Best, J.A.L. Rugar: Nanoscale replication for scanning probe data storage, Appl. Phys. Lett. 69, 4262–4264 (1996)

    Article  Google Scholar 

  167. D.Y. Kim, S.K. Tripathy, L. Li, J. Kumar, Laser-induced holographic surface relief gratings on nonlinear optical polymer films, Appl. Phys. Lett. 66, 1166–1168 (1995)

    Article  Google Scholar 

  168. N. Kramer, M. Niesten, C. Schönenberger: Resistless high resolution optical lithography on silicon, Appl. Phys. Lett. 67, 2989–2991 (1995)

    Article  Google Scholar 

  169. M. Müllenborn, H. Dirac, J.W. Peterson: Silicon nanostructures produced by laser direct etching, Appl. Phys. Lett. 66, 3001–3003 (1995)

    Article  Google Scholar 

  170. U. Reblan, H. Endert, G. Zaal: Laser ablation on the micrometer scale, Laser Focus World 30, 91–96 (1994)

    Google Scholar 

  171. M.A. Roberts, J.S. Rossier, P. Bercier, H. Giault: UV laser machined polymer substrates for the development of microdiagnostic systems, Anal. Chem. 69, 2035–2042 (1997)

    Article  Google Scholar 

  172. S.A. Weiss: Think small – Lasers compete in micromachining, Photon. Spectra 29, 108–114 (1995)

    Google Scholar 

  173. N.L. Abbott, A. Kumar, G.M. Whitesides: Using micromachining, molecular self-assembly, and wet etching to fabricate 0.1–1- μm scale structures of gold and silicon, Chem. Mater. 6, 596–602 (1994)

    Article  Google Scholar 

  174. T.J. Hirsch, R.F. Miracky, C. Lin: Selective-area electroless copper plating on polyimide employing laser patterning of a catalytic film, Appl. Phys. Lett. 57, 1357–1359 (1990)

    Article  Google Scholar 

  175. V. Malba, A.F. Bernhardt: Laser surface modification for selective electroplating of metal: A 2.5 m/s laser direct write process, Appl. Phys. Lett. 60, 909–611 (1992)

    Article  Google Scholar 

  176. A. Miehr, R.A. Fisher, O. Lehmann, M. Stuke: Laser direct writing of beta-Co/Ga and Mn/Ga alloy microstructures from organometallic single-source precursors, Adv. Mater. Opt. Electron. 6, 27–32 (1996)

    Article  Google Scholar 

  177. M. Datta: Fabrication of an array of precision nozzles by through-mask electrochemical micromachining, J. Elecrochem. Soc. 142, 3801–3805 (1995)

    Article  Google Scholar 

  178. A. Rose, P.K. Weimer: Physical limits to the performance of imaging-systems, Phys. Today 42, 24–32 (1989)

    Article  Google Scholar 

  179. M.R.V. Sahyun: Secrecies of photographic development revealed! ChemTech 22, 418–424 (1992)

    Google Scholar 

  180. H. Tabei, S. Nara, K. Matsuyama: Method for forming a printed circuit by photolysis of silver salt of organic acid, J. Elecrochem. Soc. 121, 67–69 (1974)

    Article  Google Scholar 

  181. S. Leävuori, J. Väänänen, M. Lothi, J. Remes, A. Uusimäki: A novel thick-film technique, gravure offset printing, for the realization of fine-line sensor structures, Sens. Actuators A, 42, 593–596 (1994)

    Article  Google Scholar 

  182. H. Moilanen, J. Laalainen, S. Leävuori: Development of piezoelectric micromovement actuator fabrication using a thick-film double-paste printing method, Sens. Actuators A, 43, 357–365 (1994)

    Article  Google Scholar 

  183. E. Anczurowski, J. Oliver, R.H. Marchessault: New papers for new printers, ChemTech 16, 304–310 (1986)

    Google Scholar 

  184. A.P. Blanchard, R.J. Kaiser, L.E. Hood: High-density oligonucleotide arrays, Biosens. Bioelectron. 11, 687–690 (1996)

    Article  Google Scholar 

  185. M. Döring: Inkjet Printing, Philips Tech. Rev. 40, 192–198 (1982)

    Google Scholar 

  186. A.V. Lemmo, J.T. Fisher, H.M. Geysen, D.J. Rose: Characterization of an inkjet chemical microdispenser for combinatorial library synthesis, Anal. Chem. 69, 543–551 (1997)

    Article  Google Scholar 

  187. Q.M. Pai, B.E. Springett: Physics of electrophotography, Rev. Mod. Phys. 65, 163–211 (1993)

    Article  Google Scholar 

  188. M. Stolka: Hard copy materials, ChemTech 19, 487–495 (1989)

    Google Scholar 

  189. T.M. Bloomstein, D.J. Ehrlich: Stereo laser micromachining of silicon, Appl. Phys. Lett. 61, 708–781 (1992)

    Article  Google Scholar 

  190. O. Lehmann, M. Stuke: Laser-driven movement of three-dimensional microstructures generated by laser rapid prototyping, Science 270, 1644–1646 (1995)

    Article  Google Scholar 

  191. D.C. Neckers: Stereolithography – An introduction, ChemTech 20, 615–619 (1990)

    Google Scholar 

  192. F.T. Wallenberger: Rapid prototyping directly from the vapor phase, Science 267, 1274–1275 (1995)

    Article  Google Scholar 

  193. D. Qin, Y. Xia, J.A. Rogers, R.J. Jackman, X.-M. Zhao, G.M. Whitesides: Microfabrication, microstructures and microsystems, Top. Curr. Chem. 194, 1–20 (1998)

    Article  Google Scholar 

  194. Y. Xia: Soft lithography: Micro- and nanofabrication based on microcontact printing and replica molding, Harvard University (1996)

    Google Scholar 

  195. H.A. Biebuyck, N.B. Larsen: Lithography beyond light: Microcontact printing with monolayer resists, IBM J. Res. Dev. 41, 159 (1997)

    Article  Google Scholar 

  196. A. Kumar, G.M. Whitesides: Features of gold having micrometer to centimeter dimensions can be formed through a combination of stamping with an elastomeric stamp and an alkanethiol “ink” followed by chemical etching, Appl. Phys. Lett. 63, 2002–2004 (1993)

    Article  Google Scholar 

  197. Y. Xia, E. Kim, X.-M. Zhao, J.A. Rogers, M. Prentiss, G.M. Whitesides: Complex optical surfaces formed by replica molding against elastomeric masters, Science 273, 347–349 (1996)

    Article  Google Scholar 

  198. X.-M. Zhao, Y. Xia, G.M. Whitesides: Fabrication of three-dimensional micro-structures: Microtransfer molding, Adv. Mater. 8, 837–840 (1996)

    Article  Google Scholar 

  199. E. Kim, Y. Xia, G.M. Whitesides: Polymer microstructures formed by moulding in capillaries, Nature 376, 581–584 (1995)

    Article  Google Scholar 

  200. E. King, Y. Xia, X.-M. Zhao, G.M. Whitesides: Solvent-assisted microcontact molding: A convenient method for fabricating three-dimensional structures on surfaces of polymers, Adv. Mater. 9, 651–654 (1997)

    Article  Google Scholar 

  201. A. Afzali, C.D. Dimitrakopoulos, T.L. Breen: High-performance, solution-processed organic thin film transistors from a novel pentacene precursor, J. Am. Chem. Soc. 124, 8812 (2002)

    Article  Google Scholar 

  202. J.-F. Chang, B. Sun, D.W. Breiby, M.M. Nielsen, T.I. Sölling, M.G. McCulloch, H. Sirringhaus: Enhanced mobility of poly(3-hexylthiophene) transistors by spin-coating from high-boiling-point solvents, Chem. Mater. 16, 4772–4776 (2004)

    Article  Google Scholar 

  203. K. Murata: Super-fine ink-jet printing for nanotechnology, Presented at Proceedings of the International Conference on MEMS, NANO and Smart Systems, Los Alamitos, CA (2003)

    Google Scholar 

  204. C.W. Sele, T. von Werne, R.H. Friend, H. Sirringhaus: Lithography-free, self-aligned inkjet printing with sub-hundred-nanometer resolution, Adv. Mater. 17, 997 (2005)

    Article  Google Scholar 

  205. Y.-L. Loo, J.W.P. Hsu, R.L.Willett, K.W. Baldwin, K.W.West, J.A. Rogers: High-resolution transfer printing on GaAs surfaces using alkane dithiolmonolayers, J. Vac. Sci. Technol. B 20, 2853 (2002)

    Article  Google Scholar 

  206. W.R. Childs, R.G. Nuzzo: Decal transfer microlithography: a new soft-lithographic patterning method, J. Am. Chem. Soc. 124, 13583 (2002)

    Article  Google Scholar 

  207. M. Colburn, S. Johnson, M. Stewart, S. Damle, T. Bailey, B.J. Choi, M. Wedlake, T. Michaelson, S.V. Sreenivasan, J. Ekerdt, C.G. Willson: Step and flash imprint lithography: A new approach to high-resolution patterning, Presented at SPIE’s 24th International Symposium on Microlithography: Emerging Lithographic Technologies III, Santa Clara, CA (1999)

    Google Scholar 

  208. P.S. Hong, J. Kim, H.H. Lee: Contrast modified room-temperature imprint lithography, Appl. Phys. Lett. 88, 173105 (2006)

    Article  Google Scholar 

  209. H. Lee, G.-Y. Jung: Wafer to wafer nano-imprinting lithography with monomer based thermally curable resin, Microelectron. Eng. 77, 168–174 (2005)

    Article  Google Scholar 

  210. S.Y. Chou, C. Keimel, J. Gu: Ultrafast and direct imprint of nanostructures in silicon, Nature 417, 835 (2002)

    Article  Google Scholar 

  211. H.W. Ro, R.L. Jones, H. Peng, D.R. Hines, H.-J. Lee, Eric K. Lin, A. Karim, D.Y. Yoon, D.W. Gidley, C.L. Soles: The direct patterning of nanoporous interlayer dielectric insulator films by nanoimprint lithography, Adv. Mater. 19, 2919–2924 (2007)

    Article  Google Scholar 

  212. S.Y. Chou, P.R. Krauss, W. Zhang, L. Guo, L. Zhuang: Sub-10 nm imprint lithography and applications, J. Vac. Sci. Technol. B 15, 2897 (1997)

    Article  Google Scholar 

  213. H. Schift: Nanoimprint lithography: An old story in modern times? A review, J. Vac. Sci. Technol. B 26, 458–480 (2008)

    Article  Google Scholar 

  214. X. Cheng, L.J. Guo: A combined-nanoimprint-and-photolithography patterning technique, Microelectron. Eng. 71, 277–282 (2004)

    Article  Google Scholar 

  215. L.J. Guo: Recent progress in nanoimprint technology and its applications, J. Phys. D-Appl. Phys. 37, R123–R141 (2004)

    Article  Google Scholar 

  216. W. Wang, S.A. Soper: Bio-MEMS: Technologies and Applications (CRC Press, Boca Raton, FL, 2007)

    Google Scholar 

  217. Y. Zhang, J. Lu, H. Zhou, T. Itoh, R. Maeda: Application of nanoimprint technology in MEMS-based micro direct-methanol fuel cell (μ-DMFC), J. Microelectromech. Syst. 17, 1020 (2008)

    Article  Google Scholar 

  218. X. Fan, H. Zhang, S. Liu, A. Hu, K. Jia: NIL – A low-cost and high-throughput MEMS fabrication method compatible with IC manufacturing technology, Microelectron. J. 37, 121 (2006)

    Article  Google Scholar 

  219. D.R. Hines: Organic Electronics with Polymer Dielectrics on Plastic Substrates Fabricated Via Transfer Printing (University of Maryland, College Park, MD, 2007)

    Google Scholar 

  220. D.R. Hines, A.E. Southard, A. Tunnell, V. Sangwan, T. Moore, J.-H. Chen, M.S. Fuhrer, E.D. Williams: Transfer printing as a method for fabricating hybrid devices on flexible substrates, Proceedings of the SPIE, San Diego, CA (2007)

    Google Scholar 

  221. D.R. Hines, S. Mezhenny, M. Breban, E.D. Williams, V.W. Ballarotto, G. Esen, A. Southard, M.S. Fuhrer: Nanotransfer printing of organic and carbon nanotube thin-film transistors on plastic substrates, Appl. Phys. Lett. 86, 163101–163103 (2005)

    Article  Google Scholar 

  222. D. Janssen, R.D. Palma, S. Verlaak, P. Heremans, W. Dehaen: Static solvent contact angle measurements, surface free energy and wettability determination of various self-assembled monolayers on silicon dioxide, Thin Solid Films 515, 1433–1438 (2006)

    Article  Google Scholar 

  223. M. Coll, L.H. Miller, L.J. Richter, D.R. Hines, O.D. Jurchescu, N. Gergel-Hackett, C.A. Richter, C.A. Hacker: Formation of silicon-based molecular electronic structures using flipchip lamination, J. Am. Chem. Soc. 131, 12451–12457 (2009)

    Article  Google Scholar 

  224. K.J. Lee, M.J. Motala, M.A. Meitl, W.R. Childs, R. Menard, A.K. Shim, J.A. Rogers, R.G. Nuzzo: Large-area, selective transfer of microstructured silicon: A printing-based approach to high-performance thin-film transistors suorted on flexible substrates, Adv. Mater. 17, 2332–2336 (2005)

    Article  Google Scholar 

  225. D.R. Hines, A. Southard, M.S. Fuhrer: Poly(3-hexylthiophene) thin-film transistors with variable polymer dielectrics for transfer printed flexible electronics, J. Appl. Phys. 104, 024510 (2008)

    Article  Google Scholar 

  226. Photomask Basicsfor Stanford Users: Available http://snf.stanford.edu/Process/Masks/PhotomaskBasics-General.pdf

  227. S. Rizvi: Handbook of Photomask Manufacturing Technology (CRC Press, Boca Raton, FL, 2005)

    Book  Google Scholar 

  228. O. Takaoka: Advanced photomask defect repairing technology using FIB and SPM, Int. J. Autom. Technol. 2 (2008)

    Google Scholar 

  229. L. Li, R.R. Gattass, E. Gershgoren, H. Hwang, J.T. Fourkas: Achieving lambda/20 resolution by one-color initiation and deactivation of polymerization, Science 324, 910–913 (2009)

    Article  Google Scholar 

  230. C.N. LaFratta, J.T. Fourkas, T. Baldacchini, R.A. Farrer: Multiphoton fabrication, Angew. Chem. Int. Ed. 46, 6238–6258 (2007)

    Article  Google Scholar 

  231. L. Li, J.T. Fourkas: Multiphoton polymerization, Mater. Today 10, 30–37 (2007)

    Article  Google Scholar 

  232. L. Li: Multiphoton absorption: Fabrication, functionalization and applications, PhD dissertation, University of Maryland, College Park, MD (2009)

    Google Scholar 

  233. C.N. LaFratta: Multiphoton Absorption Polymerization: Issues and Solutions (University of Maryland College Park, College Park, MD, 2006)

    Google Scholar 

  234. W. Chu, A. Yen, K. Ismail, I. Shepard, H.J. Lezec, C.R. Musil, J. Melngailis, Y.-C. Ku, J.M. Carter, H.I. Smith: Syb-100-nm x-ray mask technology using focused-ion beam lithography, J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. 7, 1583 (1989)

    Article  Google Scholar 

  235. M.A. Hartney, D.C. Shaver, M.I. Shephard, J.S. Huh, J. Melngailis: Silyation of focused ion beam exposed resists, Appl. Phys. Lett. 59, 485 (1991)

    Article  Google Scholar 

  236. S. Matsui, Y. Kojima, Y. Ochiai: High-resolution focused ion beam lithography, Appl. Phys. Lett. 53, 868 (1988)

    Article  Google Scholar 

  237. A. Milgram, J. Puretz: A bilevel resist for ion beam lithography, J. Vac. Sci. Technol. B 3, 879 (1985)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Daniel R. Hines .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Hines, D.R., Siwak, N.P., Mosher, L.A., Ghodssi, R. (2011). MEMS Lithography and Micromachining Techniques. In: Ghodssi, R., Lin, P. (eds) MEMS Materials and Processes Handbook. MEMS Reference Shelf, vol 1. Springer, Boston, MA. https://doi.org/10.1007/978-0-387-47318-5_9

Download citation

  • DOI: https://doi.org/10.1007/978-0-387-47318-5_9

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-0-387-47316-1

  • Online ISBN: 978-0-387-47318-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics