Skip to main content

Conclusions

It seems obvious that there is no general interconnection that perfectly fits every arbitrary application. Particularly, the proposed homogeneous network topologies and fixed bus architectures have many limitations. Because application is rarely an exact fit to the architecture, the ratio of average throughput to maximum available throughput in these systems is relatively small. A heterogeneous architecture, which makes a further distinction between local and global communication, addresses some of these problems. Locally, in segments having only a few agents, the communication can be accomplished via a bus. On the other hand, the global communication topology between these segments should be based on application specific bus and network structures.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

Similar content being viewed by others

References

  1. D. Sylvester and K. Keutzer, “Impact of small process geometries on microarchitectures in systems on a chip,” Proceedings of the IEEE, Vol. 89, No. 4, Apr. 2001, pp. 467–489.

    Article  Google Scholar 

  2. P. Wielage and K. Goossens “Networks on silicon: blessing or nightmare?,” Symp. Digital system design, Dortmund, Germany, 4–6 Sep. 2002, pp. 196–200.

    Google Scholar 

  3. R. Ho, K.W. Mai, and M.A. Horowitz, “The future of wires,” Proceedings of the IEEE, Vol. 89, No. 4, Apr. 2001, pp. 490–504.

    Article  Google Scholar 

  4. D.B. Gustavson, “Computer buses—a tutorial,” in Advanced multiprocessor bus architectures, Janusz Zalewski (ed.), IEEE Computer society press, 1995. pp. 10–25.

    Google Scholar 

  5. ARM, AMBA Specification Rev 2.0, ARM Limited, 1999.

    Google Scholar 

  6. IBM, 32-bit Processor local bus architecture specification, Version 2.9, IBM Corporation, 2001.

    Google Scholar 

  7. B. Cordan, “An efficient bus architecture for system-on-chip design,” IEEE Custom integrated circuits conference, San Diego, California, 16–19 May 1999, pp. 623–626.

    Google Scholar 

  8. K. Kuusilinna et. al., “Low latency interconnection for IP-block based multimedia chips,” IASTED Inťl conf. Parallel and distributed computing and networks, Brisbane, Australia, 14–16 Dec. 1998, pp. 411–416.

    Google Scholar 

  9. V. Lahtinen et. al., “Interconnection scheme for continuous-media systems-on-a-chip,” Microprocessors and microsystems, Vol. 26, No. 3, April 2002, pp. 123–138.

    Article  Google Scholar 

  10. W.J. Bainbridge and S.B. Furber, “MARBLE: an asynchronous on-chip macrocell bus,” Microprocessors and microsystems, Vol. 24, No. 4, Aug. 2000, pp. 213–222.

    Article  Google Scholar 

  11. OMI, PI-bus VHDL toolkit, Version 3.1, Open microprocessor systems initiative, 1997.

    Google Scholar 

  12. Sonics, Sonics μ Networks technical overview, Sonics inc., June 2000.

    Google Scholar 

  13. B. Ackland et. al., “A single-chip, 1.6-billion, 16-b MAC/s multiprocessor DSP,” IEEE Journal of solid state circuits, Vol. 35, No. 3, Mar. 2000, pp. 412–424.

    Article  Google Scholar 

  14. Silicore, Wishbone system-on-chip (SoC) interconnection architecture for portable IP cores, Revision: B.1, Silicore corporation, 2001.

    Google Scholar 

  15. E. Salminen et. al., “Overview of Bus-based System-on-Chip Interconnections,” Inťl symp. Circuits and systems, Scottsdale, Arizona, 26–29 May 2002, pp. II-372–II-375.

    Google Scholar 

  16. S. Dutta, R. Jensen, and A. Rieckmann, “Viper: a multiprocessor SoC for advanced set-top box and digital TV systems,” IEEE Design and test of computers, Vol. 8, No. 5, Sep./Oct. 2001, pp. 21–31.

    Google Scholar 

  17. K. Lahiri, A. Raghunathan, and G. Lakshminarayana, “Lotterybus: a new high-performance communication architecture for system-on-chip designs,” Design automation conference, Las Vegas, Nevada, 18–22 June 2001, pp. 15–20.

    Google Scholar 

  18. VSIA, Virtual component interface specification (OCB 2 1.0), VSI alliance, 1999.

    Google Scholar 

  19. OCP international partnership, Open core protocol specification, release 1.0, OCP-IP association, 2001.

    Google Scholar 

  20. L. Benini and G. De Micheli, “Networks on chips: a new SoC paradigm,” Computer, Vol. 35, No. 1, Jan. 2002, pp. 70–78.

    Article  Google Scholar 

  21. A. Boxer, “Where buses cannot go,” IEEE Spectrum, Vol. 32, No. 2, Feb. 1995, pp. 41–45.

    Article  MathSciNet  Google Scholar 

  22. L.P. Carloni and A.L. Sangiovanni-Vincentelli, “Coping with latency in SoC design,” IEEE Micro, Vol. 22, No. 5, Sep./Oct. 2002, pp. 24–35.

    Article  Google Scholar 

  23. Cheng-Ta Hsieh and M. Pedram, “Architectural energy optimization by bus splitting,” IEEE Transactions on computer-aided design of integrated circuits and systems, Vol. 21, No. 4, Apr. 2002, pp. 408–414.

    Google Scholar 

  24. M.R. Stan and W.P. Burleson, “Bus-invert coding for low-power I/O,” IEEE Transactions on very large scale integration (VLSI) systems, Vol. 3, No. 1, Mar. 1995, pp. 49–58.

    Article  Google Scholar 

  25. M. Lajolo, “Bus guardians: an effective solution for online detection and correction of faults affecting system-on-chip buses,” IEEE Transactions on very large scale integration (VLSI) systems, Vol. 9, No. 6, Dec. 2001, pp. 974–982.

    Article  Google Scholar 

  26. A.B. Kahng, S. Muddu, and E. Sarto, “Interconnect optimization strategies for high-performance VLSI designs,” Inťl conf. VLSI design, Goa, India, 7–10 Jan. 1999, pp. 464–469.

    Google Scholar 

  27. W.O. Cesario et. al., “Multiprocessor SoC platforms: a component-based design approach,” IEEE Design and test of computers, Vol. 19, No. 6, Nov./Dec. 2002, pp. 52–63.

    Google Scholar 

  28. J.D. Garside et. al., “AMULET3i-an asyncronous system-on-chip,” Inťl symp. Advanced research in asynchronous circuits and systems, Eilat, Israel, 2–6 Apr. 2000, pp. 162–175.

    Google Scholar 

  29. J.H. Park et. al., “MPEG-4 Video codec on an ARM core and AMBA,” Works. MPEG-4, San Jose, California, 18–20 June 2001, pp. 95–98.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2005 Springer Science + Business Media, Inc.

About this chapter

Cite this chapter

Lahtinen, V., Salminen, E., Kuusilinna, K., Hämäläinen, T.D. (2005). Bus Structures in Network-on-Chips. In: Nurmi, J., Tenhunen, H., Isoaho, J., Jantsch, A. (eds) Interconnect-Centric Design for Advanced SoC and NoC. Springer, Boston, MA. https://doi.org/10.1007/1-4020-7836-6_8

Download citation

  • DOI: https://doi.org/10.1007/1-4020-7836-6_8

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4020-7835-4

  • Online ISBN: 978-1-4020-7836-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics