Skip to main content

Power Reduction Coding for Buses

Energy Measures and Performance Limits

  • Chapter
Interconnect-Centric Design for Advanced SoC and NoC
  • 682 Accesses

Abstract

We know that coding can reduce power consumption in buses. We would like to know how much power reduction is possible. This chapter presents: energy models of deep sub-micron buses, the coupling between energy and transmitted information, the ultimate limits of achievable power reduction using coding, a global theoretical framework of power reduction coding.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. A. Brunin, G. D’Hervilly, Method and network for improving transmission of data signals between integrated circuit chips, US Patent 4,495,626 January 22, 1985

    Google Scholar 

  2. R. Fletcher, Integrated circuit having outputs configured for reduced state changes, US Patent 4,667,337, May 19, 1987.

    Google Scholar 

  3. J. Tabor, Noise reduction using low weight and constant weight coding techniques, Master Thesis, MIT, May 1990.

    Google Scholar 

  4. M. Stan, W. Burleson, “Coding a terminated bus for low power”, Proceedings Fifth Great Lakes Symposium on VLSI, Page(s): 70–73, March, 1995.

    Google Scholar 

  5. M. Stan, W. Burleson, “Bus-invert coding for low-power I/O”, IEEE Transactions on VLSI, Volume: 3 Issue: 1, March 1995, Page(s): 49–58

    Google Scholar 

  6. Youngsoo Shin; Soo-Ik Chae; Kiyoung Choi, “Partial bus-invert coding for power optimization of system level bus, Low Power Electronics and Design, 1998. Proceedings. 1998 International Symposium on 10–12 Aug. 1998 Page(s): 127–129

    Google Scholar 

  7. Lang, T.; Musoll, E.; Cortadella, J., “Extension of the working-zone-encoding method to reduce the energy on the microprocessor data bus”, VLSI in Computers and Processors, 1998. ICCD’ 98. Proceedings., International Conference on, 5–7 Oct. 1998 Page(s): 414–419.

    Google Scholar 

  8. Benini, L.; De Micheli, G.; Macii, E.; Sciuto, D.; Silvano, C., “Address bus encoding techniques for system-level power optimization”, Design, Automation and Test in Europe, 1998., Proceedings, 23–26 Feb. 1998 Page(s): 861–866.

    Google Scholar 

  9. Naehyuck Chang; Kwan-Ho Kim; Heonshik Shin, “Dual-mode lowpower bus encoding for high-performance bus drivers”, TENCON 99. Proceedings of the IEEE Region 10 Conference, Volume: 2, 15–17 Sept. 1999 Page(s): 876–879 vol.2.

    Google Scholar 

  10. Sungjoo Yoo; Kiyoung Choi, “Interleaving partial bus-invert coding for low power reconfiguration of FPGAs”, ICVC’ 99. 6th International Conference on, 26–27 Oct. 1999 Page(s): 549–552

    Google Scholar 

  11. Sunpack Hong; Narayanan, U.; Ki-Seok Chung; Taewhan Kim, “Bus-invert coding for low-power I/O–a decomposition approach”, Proceedings of the 43rd IEEE Midwest Symposium on, Volume: 2, 8–11 Aug. 2000 Page(s): 750–753 vol.2.

    Google Scholar 

  12. Komatsu, S.; Ikeda, M.; Asada, K., “Low power chip interface based on bus data encoding with adaptive code-book method”, Proceedings. Ninth Great Lakes Symposium on, 4–6 March 1999 Page(s): 368–371

    Google Scholar 

  13. Yeshik Shin; Deog-Kyoon Jeong, “Precoded two-dimensional coding method for low-power serial bus”, Electronics Letters, Volume: 35 Issue: 18, 2 Sept. 1999 Page(s): 1524–1526.

    Google Scholar 

  14. Wei-Chung Cheng; Pedram, M., “Power-optimal encoding for DRAM address bus”, ISLPED’ 00. Proceedings of the2000 International Symposium on, 26–27 July 2000 Page(s): 250–252.

    Google Scholar 

  15. Youngsoo Shin; Kiyoung Choi, “Narrow bus encoding for low power systems”, Design Automation Conference, 2000. Proceedings of the ASP-DAC 2000. Asia and South Pacific, 25–28 Jan. 2000 Page(s): 217–220.

    Google Scholar 

  16. Wei-Chung Cheng; Pedram, M., “Memory bus encoding for low power: a tutorial”, Quality Electronic Design, 2001 International Symposium on, 26–28 March 2001 Page(s): 199–204

    Google Scholar 

  17. Bishop, B.; Bahuman, A., “A low-energy adaptive bus coding scheme”, VLSI, 2001. Proceedings. IEEE Computer Society Work-shop on, 19–20 April 2001 Page(s): 118–122.

    Google Scholar 

  18. Rossi, D.; van Dijk, V.E.S.; Kleihorst, R.P.; Nieuwland, A.H.; Metra, C., “Coding scheme for low energy consumption fault-tolerant bus”, On-Line Testing Workshop, 2002. Proceedings of the Eighth IEEE International, 8–10 July 2002 Page(s): 8–12.

    Google Scholar 

  19. Rung-Bin Lin; Chi-Ming Tsai, “Weight-based bus-invert coding for low-power applications”, Design Automation Conference, 2002. Proceedings of ASP-DAC 2002. 7th Asia and South Pacific and the 15th International Conference on VLSI Design. Proceedings., 7–11 Jan. 2002 Page(s): 121–125.

    Google Scholar 

  20. Osborne, S.; Erdogan, A.T.; Arslan, T.; Robinson, D., “Bus encoding architecture for low-power implementation of an AMBA-based SoC platform”, Computers and Digital Techniques, IEE Proceedings-, Volume: 149 Issue: 4, July 2002 Page(s): 152–156.

    Google Scholar 

  21. Aghaghiri, Y.; Fallah, F.; Pedram, M., “BEAM: bus encoding based on instruction-sef-aware memories”, Design Automation Conference, 2003. Proceedings of the ASP-DAC 2003. Asia and South Pacific, Jan. 21–24, 2003 Page(s): 3–8.

    Google Scholar 

  22. S. Ramprasad, N. Shanbhag, I. Hajj, “Information-Theoretic Bounds on Average Signal Transition Activity”, IEEE Trans. on VLSI, Vol. 7, No. 3, Sept. 1999.

    Google Scholar 

  23. Rung-Bin Lin; Chi-Ming Tsai, “Theoretical analysis of bus-invert coding”, Circuits and Systems, 2000. Proceedings of the 43rd IEEE Midwest Symposium on, Volume: 2, 8–11 Aug. 2000 Page(s): 742–745 vol.2.

    Google Scholar 

  24. P. Sotiriadis and A. Chandrakasan, “Low Power Bus Coding Techniques Considering Inter-wire Capacitances”, Proceedings of the Custom Integrated Circuits Conference, May 2000.

    Google Scholar 

  25. P. Sotiriadis and A. Chandrakasan, “A bus energy model for deep submicron technology”, IEEE Transactions on VLSI Systems, pp. 341–350, Vol. 10, No. 3, June 2002.

    Google Scholar 

  26. P. Sotiriadis and A. Chandrakasan, “Power Estimation and Power Optimal Communication in Deep Sub-Micron Buses: Analytical Models and Statistical Measures”, Journal of Circuits, Systems, and Computers, Vol. 11, No. 6, 2002, 637–658.

    Article  Google Scholar 

  27. P. Sotiriadis, A. Wang, A. Chandrakasan, “Transition Pattern Coding: An Approach to Reduce Energy in Interconnect”, 26th European Solid-State Circuit Conference, (ESSCIRC 00), Stockholm, 2000, pp. 320–323.

    Google Scholar 

  28. P. Sotiriadis, A. Chandrakasan, “Bus Energy Minimization by Transition Pattern Coding (TPC) in Deep Sub-Micron Technologies”, IEEE/ACM International Conference on CAD, San Jose, 2000, pp. 322–327.

    Google Scholar 

  29. K.W. Kim, K.H. Baek, N. Shanbhag, C.L. Liu, S.M. Kang, “Coupling-Driven Signal Encoding Scheme for Low-Power Interface Design”, IEEE/ACM International Conference on CAD, San Jose, 2000.

    Google Scholar 

  30. Bishop, B.; Bahuman, A.; VLSI, “A low-energy adaptive bus coding scheme”, Proceedings. IEEE Computer Society Workshop on, 19—20 April 2001 Page(s): 118–122.

    Google Scholar 

  31. Henkel, J.; Lekatsas, H., “A2BC: adaptive address bus coding for low power deep sub-micron designs”, Design Automation Conference, 2001. Proceedings, 18–22 June 2001 Page(s): 744–749.

    Google Scholar 

  32. L. Macchiarulo, E. Macii, M. Poncino, “Low-Energy Encoding for Deep-Submicron Address Buses”, IEEE/ACM International Symposium on Low Power Electronics and Design 2001, pp. 176–181.

    Google Scholar 

  33. Taylor, C.N.; Dey, S.; Yi Zhao, “Modeling and minimization of interconnect energy dissipation in nanometer technologies”, Design Automation Conference, 2001. Proceedings, 18–22 June 2001 Page(s): 754–757.

    Google Scholar 

  34. Komatsu, S.; Fujita, M, “Irredundant address bus encoding techniques based on adaptive codebooks for low power”, Design Automation Conference, 2003. Proceedings of the ASP-DAC 2003. Asia and South Pacific, Jan. 21–24, 2003 Page(s): 9–14.

    Google Scholar 

  35. Madhu, M.; Murty, V.S.; Kamakoti, V., “Dynamic coding technique for low-power data bus”, VLSI, 2003. Proceedings. IEEE Computer Society Annual Symposium on, 20–21 Feb. 2003 Page(s): 252–253.

    Google Scholar 

  36. P. Sotiriadis, V. Tarokh, A. Chandrakasan, “Energy Reduction in VLSI Computation Modules: An Information-Theoretic Approach”, IEEE Trans. on Information Theory, April 2003, Vol. 49, No. 4, pp. 790–808.

    Article  MathSciNet  Google Scholar 

  37. P. Sotiriadis, A. Chandrakasan, “Coding for Energy Reduction in Deep Sub-Micron Technologies The Transition Pattern Coding Approach”, IEEE Transactions on Circuits and Systems I, Vol. 50, No. 10, Oct. 2003, pp. 1280–1295.

    Article  Google Scholar 

  38. S. Das, W. Smith, C. Paul, “Modeling of data bus structures using numerical methods”,International Symposium on Electromagnetic Compatibility, 1993, pp. 409–414.

    Google Scholar 

  39. L. Pileggi, “Coping with RC(L) interconnect design headaches”, IEEE/ACM International Conference on CAD 1995, pp. 246–253.

    Google Scholar 

  40. Li-Rong Zheng; Bingxin Li; Tenhunen, H., “Global interconnect design for high speed ULSI and system-on-package”, ASIC/SOC Conference, 1999. Proceedings. Twelfth Annual IEEE International, 15–18 Sept. 1999 Page(s): 251–256.

    Google Scholar 

  41. J. Davis, J. Meindl, “Compact Distributed RLC Interconnect Models Part II: Coupled Line Transient Expressions and Peak Crosstalk in Multilevel Networks”, IEEE Transactions on Electron Devices, Vol. 47, No. 11, Nov. 2000.

    Google Scholar 

  42. T. Sakurai, “Design Challenges for 0.1µm and Beyond”, Asia and South Pacific Design Automation Conference 2000, pp. 553–558.

    Google Scholar 

  43. C. Cheng, J. Lillis, S. Lin, N. Chang, Interconnect Analysis and Synthesis, John Wiley and Sons, 2000.

    Google Scholar 

  44. K. Yamashita, S. Odanaka, “Interconnect Scaling Scenario Using a Chip Level Interconnect Model”, IEEE transactions on electron devices Vol. 47, No. 1, Jan. 2000.

    Google Scholar 

  45. N. Menezes, L. Pillegi, “Analyzingon-chips Interconnecte effects”, Design of High Performance Microprocessor Circuits, A. Chandrakasan, W.J. Bowhill, F. Fox, Eds., IEEE Editions 2001, Chapter 16.

    Google Scholar 

  46. P. Sotiriadis, Interconnect Modeling and Optimization in Deep Sub-Micron Technologies, Ph.D. Thesis, Massachusetts Institute of Technology, May 2002.

    Google Scholar 

  47. T. Sakurai, “Closed-form expressions for interconnection delay, coupling, and crosstalk in VLSIs”, IEEE Transactions on Electron Devices, Vol. 40, Issue 1, Jan. 1993, pp. 118–124.

    MathSciNet  Google Scholar 

  48. K. Tang, E. Friedman, “Peak noise prediction in loosely coupled interconnect [VLSI circuits]”, International Symposium on Circuit and Systems 1999, Vol.1, pp. 541–544.

    Google Scholar 

  49. T. Sakurai, S. Kobayashi, M. Noda, “Simple expressions for interconnection delay, coupling and crosstalk in VLSI”, International Symposium on Circuit and Systems 1991, Vol. 4, pp. 2375–2378.

    Google Scholar 

  50. M. Becer, I. Hajj, “An analytical model for delay and crosstalk estimation in interconnects under general switching conditions”, IEEE International Conference on Electronics, Circuits and Systems, 2000, vol.2, pp. 831–834.

    Google Scholar 

  51. A. Ghosh, S. Devadas, K. Keutzer, J. White, “Estimation of average switching activity in combinatorial and sequential circuits”, Proceedings of ACM/IEEE Design Automation Conference, pp. 253–259, June 1992.

    Google Scholar 

  52. F. Najm, “A survey of power estimation techniques in VLSI circuits”, IEEE Transactions on VLSI Systems, Vol. 2, pp. 446–455, Dec. 1994.

    Google Scholar 

  53. R. Marculescu, D. Marculescu, M. Pedram, “Efficient power estimation for highly correlated input streams”, Proceedings of ACM/IEEE Design Automation Conference, pp. 628–634, June 1995.

    Google Scholar 

  54. M. Pedram, “Power minimization in IC design: Principles and applications”, ACM Transactions on Design Automation Electronic Systems, Vol. 1, No. 1, pp. 1–54, Jan. 1996.

    Google Scholar 

  55. Y.I. Ismail, E.G. Friedman, J.L. Neves, “Transient power in CMOS gates driving LC transmission lines”, IEEE International Conference on Electronics, Circuits and Systems, 1998, pp. 337–340.

    Google Scholar 

  56. R. Marculescu, D. Marculescu, M. Pedram, “Probabilistic Modeling of Dependencies During Switching Activity Analysis”, IEEE Transactions Computer-Aided Design of Integrated Circuits and Systems, vol. 17, pp. 73–83, June 1998.

    Google Scholar 

  57. http://www.ece.jhu.edu/~pps/

  58. R. Gallager, Discrete Stochastic Processes, Kluwer Academic Publishers, 1998.

    Google Scholar 

  59. A. Chandrakasan (Ed.) and R. Brodersen (Ed.), Low power CMOS Design, IEEE Press, 1998.

    Google Scholar 

  60. T. Cover, J. Thomas, Elements of Information Theory, John Wiley and Sons, 1991.

    Google Scholar 

  61. R. Horn, C. Johnson, Matrix Analysis, Cambridge University Press 1994. J. Rabaey, Digital Integrated circuits, Prentice Hall 1996.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2005 Springer Science + Business Media, Inc.

About this chapter

Cite this chapter

Sotiriadis, P.P. (2005). Power Reduction Coding for Buses. In: Nurmi, J., Tenhunen, H., Isoaho, J., Jantsch, A. (eds) Interconnect-Centric Design for Advanced SoC and NoC. Springer, Boston, MA. https://doi.org/10.1007/1-4020-7836-6_7

Download citation

  • DOI: https://doi.org/10.1007/1-4020-7836-6_7

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4020-7835-4

  • Online ISBN: 978-1-4020-7836-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics