Skip to main content
  • 1778 Accesses

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  • AbouGhazaleh, N., Childers, B., Mosse, D., Melhem, R., and Craven, M., 2003, Energy management for real-time embedded applications with compiler support, in Proceedings of the 2003 ACM SIGPLAN Conference on Language, Compiler, and Tool for Embedded Systems, pp. 284-293.

    Google Scholar 

  • Acquaviva, A., Benini, L., and Ricco, B., 2001, Software-controlled processor speed setting for low-power streaming multimedia, IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, 20(11), pp. 1283-1292.

    Article  Google Scholar 

  • Aditya, S., Rau, B. R., and Kathail, V., 1999, Automatic architectural synthesis of VLIW and EPIC processors, in Proceedings of the 12th international symposium on System synthesis, p.107.

    Google Scholar 

  • Aho, A. V., Sethi R., and Ullman J. D., 1986, Compilers: Principles, Techniques, and Tools, Addison Wesley.

    Google Scholar 

  • Anand, M., Nightingale, E. B., and Flinn, J., 2004, Ghosts in the machine: interfaces for better power management, in Proceedings of the second international Conference on Mobile systems, Applications, and Services. pp. 23-35.

    Google Scholar 

  • Aydin, H., Melhem, R., Mossé, D. and -Alvarez, P. M., 2001, Dynamic and aggressive scheduling techniques for power-aware real-time systems, in Proceedings of the 22nd IEEE Real-Time Systems Symposium, pp. 95-105.

    Google Scholar 

  • Aydin, H., Melhem, R., Mosse, D., and -Alvarez, P. M., 2004, Power-aware scheduling for periodic real-Time tasks, IEEE Trans. on Computers, 53(5), pp. 584-600.

    Article  Google Scholar 

  • Barned, R. M., and Richards, R. J., 2002, Uniform Driver Interface (UDI) reference implementation and determinism, Proceedings of Eighth IEEE Real-Time and Embedded Technology and Applications Symposium, pp. 301-310.

    Google Scholar 

  • Benini, L., Bogliolo, A., Paleologo, G. A., and Micheli, G. D., 1999, Policy optimization for dynamic power management, IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, 18(6), pp. 813-833.

    Article  Google Scholar 

  • Benini, L., Bogliolo, A., and Micheli, G. D., 2000, A survey of design techniques for system-level dynamic power management, IEEE Trans. VLSI Systems, 8(3), pp. 299-316.

    Article  Google Scholar 

  • Brock, B., and Rajamani, K., 2003, Dynamic power management for embedded systems. In Proceedings of the 2003 IEEE International SOC Conference.

    Google Scholar 

  • Cai, L., and Lu, Y. H., 2005, Joint power management of memory and disk, in Proceedings of Design, Automation and Test in Europe, pp. 86-91.

    Google Scholar 

  • Chandrakasan, A. P., and Brodersen, R. W., 1995, Low Power Digital CMOS Design, Kluwer Academic Publishers, Norwell, MA.

    Google Scholar 

  • Choi, I., Shim, H., and Chang, N., 2002, Low-power color TFT LCD display for hand-held embedded systems, in Proceedings of the 2002 International Symposium on Low Power Electronics and Design, pp. 112-117.

    Google Scholar 

  • Choi, K., Soma, R., and Pedram, M., 2004, Dynamic voltage and frequency scaling based on workload decomposition, in Proceedings of the 2004 International Symposium on Low Power Electronics and Design, pp. 174-179.

    Google Scholar 

  • Chu, E. T.-H., Huang, T. Y., Liu, K. Y., Tsai, C. H., and Chen, P. Y., 2006, COLORS: A real-time DPM policy with DVS support, submitted to Proceedings of Design, Automation and Test in Europe.

    Google Scholar 

  • Chung, E. Y., Benini, L., and Micheli, G. D., 1999, Dynamic power management using adaptive learning tree, in Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design, pp. 274-279.

    Google Scholar 

  • Cooper, K. D. and Torczon, L., 2004, Engineering a Compiler, Morgan Kaufmann.

    Google Scholar 

  • De Bus, B., De Sutter, B., Van Put, L., Chanet, D., De Bosschere, K., 2004, Link-time optimization of ARM binaries, ACM SIGPLAN Notices, v.39 n.7, July.

    Google Scholar 

  • Ethier, S., 2003, Application-driven power management, QNX Software Systems Ltd.

    Google Scholar 

  • Fauth, A., Van Praet, J., and Freericks, M., 1995, Describing instruction set processors using nML, in Proceedings of the 1995 European conference on Design and Test, page 503.

    Google Scholar 

  • Fisher, J. A., Faraboschi, P., Young, C., 2005, Embedded Computing: A VLIW Approach to Architecture, Compilers and Tools, Morgan Kaufmann.

    Google Scholar 

  • Furber, S., 2000, ARM System-on-Chip Architecture, 2nd ed., Addison Wesley.

    Google Scholar 

  • Gyllenhaal, J. C., Hwu, W.-m. W., and Rau, B. R., 1996, HMDES version 2 specification, IMPACT Technical report, IMPACT-96-03, University of Illinois, Urbana IL.

    Google Scholar 

  • Haber, G., Klausner, M., Eisenberg, V., Mendelson, B., and Gurevich, M., 2003, Optimization opportunities created by global data reordering, in Proceedings of the International Symposium on Code Generation and Optimization, pp. 228-237.

    Google Scholar 

  • Halambi, A., Grun, P., Ganesh, V., Khare, A., Dutt, N., and Nicolau, A., 1999, EXPRESSION: a language for architecture exploration through compiler/simulator retargetability, in Proceedings of the conference on Design, automation and test in Europe, Article No. 100.

    Google Scholar 

  • Hill, Jason, and et al., 2000, System architecture directions for networked sensors, Proceedings of the ninth international conference on Architectural support for programming languages and operating systems.

    Google Scholar 

  • Hoffmann, A., Kogel, T., Nohl, A., Braun, G., Schliebusch, O., Wahlen, O., Wieferink, A., and Meyr, H., 2001, A novel methodology for the design of application specific instruction set processors (ASIP) using a machine description language, IEEE Transactions on Computer-Aided Design, 20(11):1338-1354.

    Article  Google Scholar 

  • Honda, S., and Takada, H., 2003, Evaluation of applying SpecC to the integrated design method of device driver and device, Design, Automation and Test in Europe Conference and Exhibition.

    Google Scholar 

  • Hsu, C.-H. and Kremer, U., 2002, Single vs. multiple regions: A comparison of different compiler-directed dynamic voltage scheduling approaches, in Proceedings of Power-Aware Computer Systems Workshop.

    Google Scholar 

  • HP, Intel, Microsoft, Phoenix, and Toshiba; http://www.acpi.info/

    Google Scholar 

  • HP Labs and P. Alto, Power evaluation of a handheld computer, 2003, Micro IEEE, 23(1), pp. 66-74.

    Google Scholar 

  • Hwang, C. H., and Wu, A. C., 1997, A predictive system shutdown method for energy saving of event-driven computation, in Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design, pp. 28-32.

    Google Scholar 

  • IBM and MontaVista Software, 2002, Dynamic Power Management for Embedded System; http://www.research.ibm.com/arl/publications/papers/DPM_V1.1.pdf.

    Google Scholar 

  • Irani, S., Shukla, S., and Gupta, R., 2003, Online strategies for dynamic power management in systems with multiple power-saving states, ACM Trans. on Embedded Computing Systems, 2(3), pp. 325-346.

    Article  Google Scholar 

  • Ishihara, T.,and Yasuura, H., 1998, Voltage scheduling problem for dynamically variable voltage processors, in Proceedings of ACM International Symposium on Low-Power Electronics and Design, pp. 197-199.

    Google Scholar 

  • Jejurikar, R., and Gupta, R. K., 2004, Dynamic voltage scaling for system-wide energy minimization in real-time embedded systems, in Proceedings of the 2004 International Symposium on Low Power Electronics and Design, pp. 78-81.

    Google Scholar 

  • Jejurikar, R., Pereira, C., and Gupta, R. K., 2004, Leakage aware dynamic voltage scaling for real-time embedded systems, in Annual ACM IEEE Design Automation Conference, pp. 275-280.

    Google Scholar 

  • Jejurikar, R., and Gupta, R. K., 2004, Procrastination scheduling in fixed priority real-time systems, ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools in Embedded Systems, pp. 57-66.

    Google Scholar 

  • Jerraya, A., and et al., 2003, Embedded Software for SOC, Kluwer Academic Publishers, Norwell, MA.

    MATH  Google Scholar 

  • Karlin, A., Manasse, M., McGeoch, L., and Owicki, S., 1994, Competitive randomized algorithms for nonuniform problems, Algorithmica, 11(6), pp. 542-571.

    Article  MATH  MathSciNet  Google Scholar 

  • Kessler, C. W., and Bednarski, A., 2002, Optimal integrated code generation for clustered VLIW architectures, in Proceedings of the 2002 Joint Conference on Languages, Compilers, and Tools for Embedded Systems & Software and Compilers for Embedded Systems, pp. 102-111.

    Google Scholar 

  • Kim, M., and Ha, S., 2001, Hybrid run-time power management technique for real-time embedded system with voltage scalable processor, in Proceedings of the ACM SIGPLAN Workshop on Languages, Compilers and Tools for Embedded Systems, pp. 11-19.

    Google Scholar 

  • Kim, W., Kim, J., and Min, S. L., 2002, A dynamic voltage scaling algorithm for dynamic priority hard real-time systems using slack time analysis, in Proceedings of the conference on design, automation and test in europe, pp. 788-794.

    Google Scholar 

  • Kim, W., Kim, J., and Min, S. L., 2003, Dynamic voltage scaling algorithm for fixed priority real-time systems using work-demand analysis, in Proceedings of the 2003 international symposium on low power electronics and design, pp. 396-401.

    Google Scholar 

  • Kong, T. and Wilken, K. D., 1998, Precise register allocation for irregular architectures, in Proceedings of the 31st annual ACM/IEEE international symposium on Microarchitecture, pp. 297-307.

    Google Scholar 

  • Krishna, C. M., and Lee, Y. H., 2003, Voltage-clock-scaling adaptive scheduling techniques for low power in hard real-time systems, IEEE Trans. on Computers, 52(12), pp. 1586-1593.

    Article  Google Scholar 

  • Krishnaswamy, A. and Gupta, R., 2002, Profile guided selection of ARM and thumb instructions, in Proceedings of the 2002 Joint Conference on Languages, Compilers, and Tools for Embedded Systems & Software and Compilers for Embedded Systems, pp. 56-64.

    Google Scholar 

  • Krishnapura, R., Goddard, and Qadi, A., 2004, A dynamic real-time scheduling algorithm for reduced energy consumption, Technical Report TR-UNL-CSE-2004-0009, University of Nebraska Lincoln.

    Google Scholar 

  • Labrosse, Jean J., 2002, MicroC OS II: The Real Time Kernel, CMP Books.

    Google Scholar 

  • Lanneer, D., Van Praet, J., Kifli, A., Schoofs, K., Geurts, W., Thoen, F., and Goossens, G., 1995, CHESS: Retargetable code generation for embedded DSP processors, in Code Generation for Embedded Processors, Kluwer Academic Publishers Norwell, MA, pp. 85-102.

    Google Scholar 

  • Lee, C. H., and Shin, K, G., 2004, On-line dynamic voltage scaling for hard real-time systems using the EDF algorithm. In Proceedings of the 25th IEEE Real-Time Systems Symposium.

    Google Scholar 

  • Lefurgy, C., Bird, P., Chen, I-C., and Mudge, T., 1997, Improving code density using compression techniques, in Proceedings of the 30th annual ACM/IEEE international symposium on Microarchitecture, pp. 194-203.

    Google Scholar 

  • Lekatsas, H. and Wolf, W., 1998, Code compression for embedded systems, in Proceedings of the 35th annual conference on Design automation, pp. 516-521.

    Google Scholar 

  • Levine, J. R., 1999, Linkers and Loaders, Morgan Kaufmann.

    Google Scholar 

  • Liao, S., Devadas, S., Keutzer, K., Tjiang, S., and Wang, A., 1996, Storage assignment to decrease code size, ACM Transactions on Programming Languages and Systems, Volume 18, Issue 3, pp. 235-253.

    Article  Google Scholar 

  • Li, Qing, and Yao, Caroline, 2003, Real-Time Concepts for Embedded Systems, CMP Books.

    Google Scholar 

  • Liu, C. L., and Layland, J., 1973, Scheduling algorithms for multiprogramming in a hard real-time environment, Journal of the ACM, 10(1), pp. 46-61.

    Article  MathSciNet  Google Scholar 

  • Liu, J., and Chou, P. H., 2004, Optimizing mode transition sequences in idle intervals for component-level and system-level energy minimization, in Proceedings of 2004 International Conference on Computer Aided Design, pp. 21-28.

    Google Scholar 

  • Lu, Y. H., Benini, L., and Micheli, G. D., 2000, Low-power task scheduling for multiple devices, in Proceedings of the eighth international workshop on Hardware/software codesign, pp. 39-43.

    Google Scholar 

  • Lu, Y. H., and Micheli, G. D., 2001, Comparing system-level power management policies, IEEE Design & Test of Computers, 18(2), pp. 10-19.

    Article  Google Scholar 

  • Massa, Anthony J, 2002, Embedded Software Development with eCos, Prentice Hall.

    Google Scholar 

  • Maurer, P. M., and Wang, Z., 1991, Techniques for unit-delay compiled simulation, in Proceedings of the 27th Conference on Design Automation, pp. 480-484.

    Google Scholar 

  • Microsoft; http://www.microsoft.com/whdc/system/pnppwr/powermgmt/devicepm.mspx

    Google Scholar 

  • Mochocki, B., Hu, X. S., and Quan, G., 2005, Practical on-line DVS scheduling for fixed-priority real-time system, in the 11th IEEE Real-Time and Embedded Technology and Applications Symposium.

    Google Scholar 

  • MIPS Technologies, 2001, MIPS32 Architecture for Programmers Volume IV-a: The MIPS16 Application Specific Extension to the MIPS32 Architecture, March.

    Google Scholar 

  • Muchnick, S. S., 1997, Advanced Compiler Design and Implementation, Morgan Kaufmann.

    Google Scholar 

  • Naik, M. and Palsberg, J., 2002, Compiling with code-size constraints, in Proceedings of the 2002 Joint Conference on Languages, Compilers, and Tools for Embedded Systems & Software and Compilers for Embedded Systems, pp. 120-129.

    Google Scholar 

  • Nakamoto, Y., 2004, Toward mobile phone Linux, Proceedings of the Asia and South Pacific Design Automation Conference, pp. 117-124.

    Google Scholar 

  • Nohl, A., Braun, G., Schliebusch, O., Leupers, R., Meyr, H., and Hoffmann, A., 2002, A universal techniques for fast and flexible instruction-set architecture simulation, in Proceedings of the 39th Conference on Design Automation, pp. 22-27.

    Google Scholar 

  • Pees, S., Živojnoviˆ, V., Ropers, A., and Meyr, H., 1997, Fast simulation of the TI TMS 320C54x DSP, in Proceedings of the International Conference on Signal Processing Applications and Technology, pp. 995-999.

    Google Scholar 

  • Pees, S., Hoffmann, A., and Meyr, H., 2000, Retargeting of compiled simulators for digital signal processors using a machine description language, in Proceedings of the conference on Design, automation and test in Europe, pp. 669-673.

    Google Scholar 

  • Pillai, P., and Shin, K. G., 2001, Real-time dynamic voltage scaling for low-power embedded operating systems, in Proceedings of the eighteenth ACM symposium on Operating systems principles, pp. 89-102.

    Google Scholar 

  • Quan, G., and Hu, X. S., 2001, Energy efficient fixed-priority scheduling for real time systems on variable voltage processors, in Annual ACM IEEE Design Automation Conference, pp. 828-833.

    Google Scholar 

  • Quan, G., and Hu, X. S., 2002, Minimum energy fixed-priority scheduling for variable voltage processors, in Proceedings of the conference on Design, automation and test in Europe, pp. 782.

    Google Scholar 

  • Quan, G., Niu, L., Hu, X. S., and B. Mochocki, 2004, Fixed priority scheduling for reducing overall energy on variable voltage processors, in Proceeding of the 25th IEEE International Real-Time Systems Symposium, pp. 309-318.

    Google Scholar 

  • Rao, V., Singhal, G., and Kumar, A., 2004, Real time dynamic voltage scaling for embedded systems, in Proceedings of 17th International Conference on VLSI Design. pp. 650-653.

    Google Scholar 

  • Regehr, John, and Duongsaa, Usit, 2005, Preventing interrupt overload, Proceedings of the 2005 ACM conference on Languages, compilers, and tools for embedded systems.

    Google Scholar 

  • Regehr, John, and et al., 2003, Evolving real-time systems using hierarchical scheduling and concurrency analysis, Proceedings of the 24th IEEE Real-Time Systems Symposium, Cancun, Mexico.

    Google Scholar 

  • Reshadi, M., Mishra, P., and Dutt, N., 2003, Instruction set compiled simulation: A technique for fast and flexible instruction set simulation, in Proceedings of Design Automation Conference, pp. 758-763.

    Google Scholar 

  • Rao, A. and Pande, S., 1999, Storage assignment optimizations to generate compact and efficient code on embedded DSPs, in Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation, pp. 128-138.

    Google Scholar 

  • Ros, M. and Sutton, P., 2003, Compiler optimization and ordering effects on VLIW code compression, in Proceedings of the International Conference on Compilers, Architecture and Synthesis for Embedded Systems, pp. 95-103.

    Google Scholar 

  • Saewong, S., and Rajkumar, R., 2003, Practical voltage-scaling for fixed-priority RT-systems, in The 9th IEEE Real-Time and Embedded Technology and Applications Symposium, pp. 106.

    Google Scholar 

  • Saputra, H., Kandemir, M., Vijaykrishnan, N., Irwin, M. J., Hu, J. S., Hsu, C.-H., and Kremer, U., 2002, Energy-conscious compilation based on voltage scaling, in Proceedings of the 2002 Joint Conference on Languages, Compilers, and Tools for Embedded Systems & Software and Compilers for Embedded Systems, pp. 2-11.

    Google Scholar 

  • Shin, Y., and Choi, K., 1999, Power conscious fixed priority scheduling for hard real time systems, in Annual ACM IEEE Design Automation Conference, pp. 134-139.

    Google Scholar 

  • Shin, Y., Choi, K., and Sakurai, T., 2000, Power optimization of real-time embedded systems on variable speed processors, in Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design, pp. 365-368.

    Google Scholar 

  • SimpleScalar simulator, 2004, http://www.simplescalar.com.

    Google Scholar 

  • Simunic, T., Benini, L., Acquaviva, A., Glynn, P., and Micheli, G. D., 2001, Dynamic voltage scaling and power management for portable systems, in Proceedings of the 38th Conference on Design Automation, pp. 524-529.

    Google Scholar 

  • SourceForge; http://acpi.sourceforge.net/

    Google Scholar 

  • Srivastava, A. and Wall, D. W., 1994, Link-time optimization of address calculation on a 64-bit architecture, in Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation, pp. 49-60.

    Google Scholar 

  • Srivastava, M. B., and Chandrakasan, A. P., 1996, Predictive system shutdown and other architecture techniques for energy efficient programmable computation, IEEE Trans. VLSI Systems, 4(1), pp. 42-55.

    Article  Google Scholar 

  • Swaminathan, V., and Chakrabarty, K., 2002, Pruning-based energy-optimal device scheduling for hard real-time systems, in Proceedings of the 10th International Symposium on Hardware/Software Codesign, pp. 175-180

    Google Scholar 

  • Swaminathan, V. and Chakrabarty, K., 2003, Energy-conscious, deterministic I/O device scheduling in hard real-time systems, IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, 22(7), pp. 813-833.

    Article  Google Scholar 

  • Swaminathan, V., and Chakrabarty, K., 2005, Pruning-based, energy-optimal, deterministic I/O device scheduling for hard real-time systems, ACM Trans. on Embedded Computing Systems, 4(1), pp. 141-167.

    Article  Google Scholar 

  • Thuresson, M. and Stenstrom, P., 2005, Evaluation of extended dictionary-based static code compression schemes, in Proceedings of the 2nd conference on Computing frontiers, pp. 77-86.

    Google Scholar 

  • Tian, L., and Arslan, T., 2003, A genetic algorithm for energy efficient device scheduling in real-time systems, in Proceedings of 2003 conference on Genetic and evolutionary computation, pp. 1614-1615.

    Google Scholar 

  • Vaddagir, Srivatsa, and et al., 2004, Power management in Linux-based systems, Linux Journa

    Google Scholar 

  • Wang, Shaojie, Malik S., and Bergamaschi, R.A., 2003, Modeling and integration of peripheral devices in embedded systems, Design, Automation and Test in Europe Conference and Exhibition.

    Google Scholar 

  • Weinberg, Bill, 2004, Porting RTOS device drivers to embedded Linux, Linux Journal.

    Google Scholar 

  • Weissel, A., Beutel, B., and Bellosa, F., 2002, Cooperative I/O—a novel I/O semantics for energy-aware applications, in Proceedings of 5th Symposium on Operating Systems Design and Implementation.

    Google Scholar 

  • Wolfe, A. and Chanin, A., 1992, Executing compressed programs on an embedded RISC architecture, in Proceedings of the 25th International Symposium on Microarchitecture, pp. 81-91.

    Google Scholar 

  • Yao, F., Demers, A., and Shenker, S., 1995, A scheduling model for reduced CPU energy, in IEEE Annual Foundations of Computer Science, pp. 374-382.

    Google Scholar 

  • Yun, H. S., and Kim, J., 2003, On energy-optimal voltage scheduling for fixed-priority hard real-time systems, in ACM Trans. on Embedded Computing Systems, 2(3), pp. 393-430.

    Article  Google Scholar 

  • Zhuang, X., Lau, C., and Pande, S., 2003, Storage assignment optimizations through variable coalescence for embedded processors, in Proceedings of the ACM SIGPLAN conference on Language, compiler, and tool for embedded systems, pp. 220-231.

    Google Scholar 

  • Živojnoviˆ, V., Tjiang, S., and Meyr, H., 1995, Compiled simulation of programmable DSP architectures, in Proceedings of IEEE Workshop on VLSI Signal Processing, pp. 187-196.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2006 Springer

About this chapter

Cite this chapter

Huang, TY., Tsao, SL., Wu, LC., Chu, E.TH., Liu, KY. (2006). Embedded Software. In: Lin, YL.S. (eds) Essential Issues in SOC Design. Springer, Dordrecht. https://doi.org/10.1007/1-4020-5352-5_5

Download citation

  • DOI: https://doi.org/10.1007/1-4020-5352-5_5

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-1-4020-5351-1

  • Online ISBN: 978-1-4020-5352-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics