Skip to main content

Abstract

Monte Carlo simulation is widely used in Spice-like circuit simulators. It allows to obtain statistical information derived from estimates of the random variability of circuit parameters. Multiple simulation runs are carried out with different sets of parameters. VHDL-AMS provides flexible possibilities to specify nominal and tolerance values and their distributions. Correlation between parameters can easily be taken into account. This is especially important if behavioral models are considered. The paper describes requirements and implementation aspects of the Monte Carlo simulation using VHDL-AMS.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  • Box, G.E.P., and Draper, N.R. (1987). Empirical Model-Building and Response Surfaces. New York: John Wiley & Sons.

    Google Scholar 

  • Box, G.E.P., and M.E. Muller. “A Note on the Generation of Random Normal Deviates,” Annals Math. Stat. 29(1958), pp. 610–611.

    Google Scholar 

  • Christen, E. “Statistical Modeling,” Available: http://www.vhdl.org/analog/wwwpages/language_proposal/STAT.html

    Google Scholar 

  • Esbaugh, K.S. “Generation of correlated parameters for statistical circuit simulation,” Trans. on CAD 11(1992)10, pp. 1198–1206.

    Google Scholar 

  • Forsythe, G.E. (1972). Von Neumann’s comparison method for random sampling from the normal and other distributions. Report CS-TR-72-254. Stanford University. Available: ftp://reports.stanford.edu/pub/cstr/reports/cs/tr/72/254/CS-TR-72-254.pdf

    Google Scholar 

  • Graham, W.N. “A Comparison of Four Pseudo Random Number Generators Implemented in Ada,” ACM SIGSIM Simulation Digest 22(1992)2, pp. 3–18.

    Google Scholar 

  • IEEE Standard VHDL Analog and Mixed-Signal Extensions (IEEE Std 1076.1-1999). Approved 18 March 1999. Available: http://www.designers-guide.com/Modeling/1076.1-1999.pdf

    Google Scholar 

  • IEEE Standard VHDL Mathematical Packages (IEEE Std 1076.2-1996). Approved 19 September 1996.

    Google Scholar 

  • Karvanen, J. “Generation of Correlated Non-Gaussian Random Variables from Independent Components,” Proc. 4th Int. Symposium on Independent Component Analysis and Blind Signal Separation ICA 2003, April 2003, Nara (Japan), pp. 769–774.

    Google Scholar 

  • L’Ecuyer, P. “Efficient and Portable Combined Random Number Generators,” Communications of the ACM 31(1988)6, pp. 742–774.

    Article  MathSciNet  Google Scholar 

  • Monnerie, G., N. Lewis, D. Dallet, H. Levi, and Robbe, M. “Modelling of transient noise sources with VHDL-AMS and normative spectral interpretation,” Proc. Forum on Specification & Design Languages FDL’03, September 23–26, 2003, Frankfurt/M., pp. 108–119.

    Google Scholar 

  • O’Connor P.D.T. (2002). Practical Reliability Engineering. Chichester: John Wiley & Sons Ldt.

    Google Scholar 

  • Schrüfer, E. (1990). Signalverarbeitung. München-Wien: Carl Hanser Verlag.

    Google Scholar 

  • Shared Variable WG (IEEE PAR 1076a) Homepage. Available: http://www.eda.org/svwg/

    Google Scholar 

  • SystemVision. Mentor Graphics Corp. Product Information. Available: http://www.mentor.com/system

    Google Scholar 

  • Vlach, J., and K. Singhal (1994). Computer Methods for Circuit Analysis and Design. New York: Van Nostrand Reinhold.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2005 Springer

About this chapter

Cite this chapter

Wagner, EP., Haase, J. (2005). Monte Carlo Simulation Using VHDL-AMS. In: Boulet, P. (eds) Advances in Design and Specification Languages for SoCs. Springer, Boston, MA. https://doi.org/10.1007/0-387-26151-6_4

Download citation

  • DOI: https://doi.org/10.1007/0-387-26151-6_4

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-0-387-26149-2

  • Online ISBN: 978-0-387-26151-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics