Skip to main content
Log in

Wafer-to-wafer hybrid bonding at 400-nm interconnect pitch

  • Lab to Fab
  • Published:

From Nature Reviews Electrical Engineering

View current issue Sign up to alerts

Wafer-to-wafer hybrid bonding is an attractive 3D integration technology for stacking multiple heterogeneous chips with high 3D interconnect density. We highlight recent design and technology innovations that enable hybrid Cu, SiCN-to-Cu and SiCN bonding with interconnect pitches down to an unprecedented 400 nm.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

References

  1. Wuu, J. et al. In 2022 IEEE International Solid-State Circuits Conference (ISSCC) 428–429 (IEEE, 2022).

  2. Chew, S. A. et al. In 2023 IEEE International Electron Devices Meeting (IEDM) 13.6 (IEEE, 2023).

Download references

Acknowledgements

The authors thank the 3D system integration department at imec for their contributions and M. Van Bavel for editing this article.

Author information

Authors and Affiliations

Authors

Corresponding authors

Correspondence to Soon Aik Chew, Joeri De Vos or Eric Beyne.

Ethics declarations

Competing interests

The authors declare no competing interests.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Chew, S.A., De Vos, J. & Beyne, E. Wafer-to-wafer hybrid bonding at 400-nm interconnect pitch. Nat Rev Electr Eng 1, 71–72 (2024). https://doi.org/10.1038/s44287-024-00019-8

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1038/s44287-024-00019-8

  • Springer Nature Limited

Navigation