Skip to main content

Advertisement

Log in

A GA based energy aware scheduler for DVFS enabled multicore systems

  • Published:
Computing Aims and scope Submit manuscript

Abstract

Multicore systems are prevalent now as high end computing systems for solving computationally complex problems. Energy consumed by these machines are enormous specially in instruction execution on the cores. It has been observed that if CPU cycle and latency cycle are properly managed, it is possible to save a good amount of energy. Dynamic voltage frequency scaling (DVFS) technique is often used to scale energy consumption at the cores. Job scheduling to the appropriate cores, in general, is an NP-hard problem. This work aims at effective use of DVFS technique at the instruction level and applies genetic algorithm, a popular meta-heuristics, for job scheduling at the appropriate core for optimal energy usage of multicore systems. Experimental results, on the benchmark data, exhibit that the proposed model is well scalable and energy efficient with acceptable performance tradeoff over other contemporary models.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4

Similar content being viewed by others

References

  1. Mei J, Li K, Hub J, Yin S, Shab EH-M (2013) Energy-aware preemptive scheduling model for sporadic tasks on DVS platform. Microprocess Microsyst 37:99–112

    Article  Google Scholar 

  2. Hwanga R, Genb M, Katayamaa H (2008) A comparison of multi-core task scheduling models with communication costs. Sci Direct Comput Oper Res 35:976–993

    Article  Google Scholar 

  3. Nie P, Duan Z (2012) Efficient and scalable scheduling for performance heterogeneous multi-core systems. J Parallel Distrib Comput 72:353–361

    Article  Google Scholar 

  4. Kumar N, Vidyarthi DP (2014) Improved scheduler for multi/many-core systems. Computing. doi:10.1007/s00607-014-0420-y

    Google Scholar 

  5. Hong I, Kirovski D, Qu G, Potkonjak M, Srivastava MB (1999) Power optimization of variable-voltage re-based systems. IEEE Trans Comput Aided Des Integr Circuits Syst 18(12):1702–1714

    Article  Google Scholar 

  6. Azevedo A, Issenin I, Cornea R, Gupta R, Dutt N, Veidenbaum A, Nicolau A (2002) Profile-based dynamic voltage scheduling using program checkpoints. In: Proceedings of design, automation and test in Europe conference and exhibition (DATE), March 2002

  7. Ergin O (2003) Circuit techniques for power-aware micro cores. Master Thesis, the State University of New York, USA

  8. Tiwari V, Singh D, Rajgopal S, Mehta G, Patel R, Baez F (1998) Reducing power in high-performance micro cores. In: Proceedings of the 35th conference on design automation, ACM, USA

  9. Hsu CH (2003) Compiler-directed dynamic voltage and frequency scaling for CPU power and energy reduction. Ph. D. Dissertation, the State University of New Jersey, USA

  10. Chandrakasan AP (1992) Low-power CMOS digital design. IEEE J Solid State Circuits 27(4):473–484

    Article  Google Scholar 

  11. Pering T, Burd T, Brodersen R (2016) Dynamic voltage scaling and the design of a low-power micro core system, University of California Berkeley, Electronics Research Laboratory. http://www.ece.umd.edu/courses/enee759m/papers/pering1998-power.pdf. Date of Accessed 11 Jan 2016

  12. Zhuravlev S, Saez JC, Blagodurov S, Fedorova A, Prieto M (2013) Survey of energy-cognizant scheduling techniques. IEEE Trans Parallel Distrib Syst 24(7):1447–1464

    Article  Google Scholar 

  13. Hwang K (2008) Advance Computer architecture, parallelism, scalability, programmability, 6th edn.. Library of congress cataloging-in-publication data, Tata Mc-Graw Hill Edition, New Delhi

  14. Nesmachnow S (2014) An overview of metaheuristics: accurate and efficient methods for optimization. Int J Metaheuristics 3(4):320–347

    Article  Google Scholar 

  15. Nesmachnow S, Cancela H, Alba E (2010) Heterogeneous computing scheduling with evolutionary algorithms. Soft Comput 15(4):685–701

    Article  Google Scholar 

  16. Kessaci Y, Mezmaz M, Melab N, Talbi E-G, Tuyttens D (2011) Parallel evolutionary algorithms for energy aware scheduling. In: Bouvry P, Gonzalez-Velez H, Kołodziej J (eds) Intelligent decisions systems in large-scale distributed environments, studies in computational intelligence series, Chap 4, vol 362. Springer, Berlin, pp 75–100

  17. Miao L, Qi Y, Hou D, Dai YH, Shi Y (2008) A multi-objective hybrid genetic algorithm for energy saving task scheduling in CMP system. In: Proceedings of IEEE international conference on systems, man and cybernetics (ICSMC2008), Singapore, pp 197–201. doi:10.1109/ICSMC.2008.4811274

  18. Kołodziej J, Khan SU, Wang L, Zomaya AY (2015) Energy efficient genetic-based schedulers in computational grids. Concur Comput Pract Exp 27:809–829

    Article  Google Scholar 

  19. Subrata R, Zomaya AY, Landfeldt B (2007) Artificial life techniques for load balancing in computational grids. J Comput Syst Sci 73:1176–1190

    Article  MATH  Google Scholar 

  20. Kumar N, Vidyarthi DP (2016) A novel hybrid PSO–GA meta-heuristic for scheduling of DAG with communication on multiprocessor systems. Engineering with Computers 32:35–47. doi:10.1007/s00366-015-0396-z

    Article  Google Scholar 

  21. Weiser M, Welch B, Demers A, Shenker S (1994) Scheduling for reduced CPU energy. In: Proceedings of the first USENIX conference on operating systems design and implementation (OSDI ’94)

  22. Yao F, Demers A, Shenker S (1995) A scheduling model for reduced CPU energy. In: Proceedings of the 36th annual symposium on foundations of computer science (FOCS ’95), pp 374–382

  23. Ishihara T, Yasuura H (1998) Voltage scheduling problem for dynamically variable voltage processors. In: Proceedings of the international symposium on low power electronics and design, pp 197–202

  24. Aydin H, Melhem R, Mossé D, Mejia-Alvarez P (2001) Dynamic and aggressive scheduling techniques for power-aware real-time systems. In: Proceedings of the 22nd IEEE real-time systems symposium (RTSS’01), pp 95–105

  25. Dhiman G, Rosing TS (2007) Dynamic voltage frequency scaling for multi-tasking systems using online learning. In: Proceedings of the international symposium on low power electronics and design (ISLPED), pp 207–212

  26. Dhiman G, Rosing TS (2009) System-level power management using online learning. IEEE Trans Comput Aided Des Integr Ciruits Syst 28(5):676–689

    Article  Google Scholar 

  27. Coskun AK, Rosing TS, Whisnant KA, Gross KC (2008) Temperature-aware MPSoC scheduling for reducing hot spots and gradients. In: Proceedings of the Asia and South pacific design automation conference (ASP-DAC ’08), pp 49–54

  28. Stavrou K, Trancoso P (2007) Thermal-aware scheduling for future chip multiprocessors. EURASIP J Embed Syst 2007(1):40–40

    Article  Google Scholar 

  29. Yeo I, Liu CC, Kim EJ (2008) Predictive dynamic thermal management for multicore systems. In: Proceedings of the 45th annual design automation conference (DAC ’08), pp 734–739

  30. Coskun AK, Rosing TS, Gross KC (2009) Utilizing predictors for efficient thermal management in multiprocessor SoCs. IEEE Trans Comput Aided Des Integr Circuits Syst 28(10):1503–1516

    Article  Google Scholar 

  31. Coskun AK, Rosing TS, Gross KC (2008) Proactive temperature balancing for low cost thermal management in MPSoCs. In: Proceedings of the IEEE/ACM international conference on computer-aided design, pp 250–257

  32. Saez JC, Fedorova A, Prieto M, Vegas H (2010) Operating system support for mitigating software scalability bottlenecks on asymmetric multicore processors. In: Proceedings of the ACM international conference on Computing frontiers (CF)

  33. Annavaram M, Grochowski E, Shen J (2005) Mitigating Amdahl’s law through EPI throttling. In: Proceedings of 32nd annual international symposium computer architecture (ISCA ’05), pp 298–309

  34. Mogul JC, Mudigonda J, Binkert N, Ranganathan P, Talwar V (2008) Using asymmetric single-ISA CMPs to save energy on operating systems. IEEE Micro 28(3):26–41

    Article  Google Scholar 

  35. Fog A. Lists of instruction latencies, throughputs and micro-operation breakdowns for Intel, AMD and VIA CPUs, Copenhagen University College of Engineering, 1996–2012. Last updated 2012-02-29, http://www.agner.org/optimize/instruction_tables

  36. http://www.programmingsimplified.com/c-program-examples, 16 Jun 2016

  37. http://en.wikipedia.org/wiki/Matrix_chain_multiplication, 15 Jun 2016

  38. Kumara N, Vidyarthi DP (2017) An energy aware cost effective scheduling framework for heterogeneous cluster system. Futur Gener Comput Syst 71:73–88

    Article  Google Scholar 

  39. http://www.cpu-world.com/CPUs/CPU.html. Date of Accessed 11 Nov 2016

  40. Lee YC, Zomaya AY (2011) Energy conscious scheduling for distributed computing systems under different operating conditions. IEEE Trans Parallel Distrib Syst 22:1374–1381

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Deo Prakash Vidyarthi.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Kumar, N., Vidyarthi, D.P. A GA based energy aware scheduler for DVFS enabled multicore systems. Computing 99, 955–977 (2017). https://doi.org/10.1007/s00607-017-0540-2

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s00607-017-0540-2

Keywords

Mathematics Subject Classification

Navigation