Skip to main content
Log in

A robust and low-power near-threshold SRAM in 10-nm FinFET technology

  • Mixed Signal Letter
  • Published:
Analog Integrated Circuits and Signal Processing Aims and scope Submit manuscript

Abstract

This paper presents a robust and low-power single-ended robust 11T near-threshold SRAM cell in 10-nm FinFET technology. The proposed cell eliminates write disturbance and enhances write performance by disconnecting the path between cross-coupled inverters during the write operation. FinFETs suffer from width quantization, and SRAM performance is highly dependent to transistors sizing. The proposed structure with minimum sized tri-gate FinFETs operates without failure under major process variations. In addition, read disturbance is reduced by isolating the storage nodes during the read operations. To reduce power consumption this cell uses only one bit-line for both read and write operations. The proposed SRAM cell reduces write delay, average power and PDP by 20, 78 and 62%, respectively as compared to the 9T single-ended SRAM cell. Moreover, the proposed cell enhances write static noise margin by 33% under process variation.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12

References

  1. Tu, M. H., Lin, J. Y., Tsai, M. C., Lu, C. Y., Lin, Y. J., Wang, M. H., et al. (2012). A single-ended disturb-free 9T subthreshold SRAM with cross-point data-aware write word-line structure, negative bit-line, and adaptive read operation timing tracing. IEEE Journal of Solid-State Circuits, 47, 1469–1482.

    Article  Google Scholar 

  2. Moghaddam, M., Moaiyeri, M. H., & Eshghi, M. (2017). Design and evaluation of an efficient Schmitt trigger-based hardened latch in CNTFET technology. IEEE Transactions on Device and Materials Reliability, 17(1), 267–277.

    Article  Google Scholar 

  3. Yang, Y., Jeong, H., Song, S. C., Wang, J., Yeap, G., & Jung, S. O. (2016). Single bit-line 7T SRAM cell for near-threshold voltage operation with enhanced performance and energy in 14 nm FinFET technology. IEEE Transactions on Circuits and Systems I: Regular Papers, 63, 1023–1032.

    Article  MathSciNet  Google Scholar 

  4. Tu, M. H., Lin, J. Y., Tsai, M. C., Jou, S. J., & Chuang, C. T. (2010). Single-ended subthreshold SRAM with asymmetrical write/read-assist. IEEE Transactions on Circuits and Systems I: Regular Papers, 57, 3039–3047.

    Article  MathSciNet  Google Scholar 

  5. Moghaddam, M., Timarchi, S., Moaiyeri, M. H., & Eshghi, M. (2016). An ultra-low-power 9T SRAM cell based on threshold voltage techniques. Circuits, Systems, and Signal Processing, 35, 1437–1455.

    Article  Google Scholar 

  6. Calhoun, B. H., & Chandrakasan, A. P. (2006). Static noise margin variation for sub-threshold SRAM in 65-nm CMOS. IEEE Journal of Solid-State Circuits, 41, 1673–1679.

    Article  Google Scholar 

  7. Lin, J. Y., Tu, M. H., Tsai, M. C., Jou, S. J., & Chuang, C. T. (2009). Asymmetrical write-assist for single-ended SRAM operation. In IEEE international SOC conference (SOCC).

  8. Anitha, D., Manjunathachari, K., Sathish Kumar, P., & Prasad, G. (2017). Design of low leakage process tolerant SRAM cell. Analog Integrated Circuits and Signal Processing, 93, 531–538.

    Article  Google Scholar 

  9. Takeda, K., Hagihara, Y., Aimoto, Y., Nomura, M., Nakazawa, Y., Ishii, T., et al. (2006). A read-static-noise-margin-free SRAM cell for low-VDD and high-speed applications. IEEE Journal of Solid-State Circuits, 41, 113–121.

    Article  Google Scholar 

  10. Pradhan, D. K., Singh, J., & Mohanty, S. (2012). Robust SRAM designs and analysis. Berlin: Springer.

    Google Scholar 

  11. Nalam, S., & Calhoun, B. H. (2011). 5T SRAM with asymmetric sizing for improved read stability. IEEE Journal of Solid-State Circuits, 46, 2431–2442.

    Article  Google Scholar 

  12. Gupta, S. K., Roy, K. (2015). Low power robust FinFET-based SRAM design in scaled technologies. In R. Reis, Y. Cao & G. Wirth (Eds.), Circuit design for reliability (pp. 223–253). New York: Springer.

    Google Scholar 

  13. Chang, L., Montoye, R. K., Nakamura, Y., Batson, K. A., Eickemeyer, R. J., Dennard, R. H., et al. (2008). An 8T-SRAM for variability tolerance and low-voltage operation in high-performance caches. IEEE Journal of Solid-State Circuits, 43(4), 956–963.

    Article  Google Scholar 

  14. Salahuddin, S. M., & Chan, M. (2015). Eight-FinFET fully differential SRAM cell with enhanced read and write voltage margins. IEEE Transactions on Electron Devices, 62, 2014–2021.

    Article  Google Scholar 

  15. Chang, I. J., Kim, J. J., Park, S. P., & Roy, K. (2009). A 32 kb 10T sub-threshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS. IEEE Journal of Solid-State Circuits, 44(2), 650–658.

    Article  Google Scholar 

  16. Teman, A., Pergament, L., Cohen, O., & Fish, A. (2011). A 250 mV 8 kb 40 nm ultra-low power 9T supply feedback SRAM (SF-SRAM). IEEE Journal of Solid-State Circuits, 46(11), 2713–2726.

    Article  Google Scholar 

  17. http://ptm.asu.edu/modelcard/PTM-MG/models.

  18. Arasteh, A., Moaiyeri, M. H., Taheri, M., Navi, K., & Bagherzadeh, N. (2018). An energy and area efficient 4:2 compressor based on FinFETs. Integration, the VLSI Journal, 60, 224–231.

    Article  Google Scholar 

  19. Moaiyeri, M. H., & Razi, F. (2017). Performance analysis and enhancement of 10-nm GAA CNTFET-based circuits in the presence of CNT-metal contact resistance. Journal of Computational Electronics, 16(2), 240–252.

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Mohammad Hossein Moaiyeri.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Ensan, S.S., Moaiyeri, M.H. & Hessabi, S. A robust and low-power near-threshold SRAM in 10-nm FinFET technology. Analog Integr Circ Sig Process 94, 497–506 (2018). https://doi.org/10.1007/s10470-018-1107-7

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10470-018-1107-7

Keywords

Navigation